הקלאסיות (לדוגמת,( EP610 סדרות MAX7000, MAX5000 וסדרת ה- FLEX FPGA. ב. Very High Speed Intgrated Circuits hardware description language - VHDL

Size: px
Start display at page:

Download "הקלאסיות (לדוגמת,( EP610 סדרות MAX7000, MAX5000 וסדרת ה- FLEX FPGA. ב. Very High Speed Intgrated Circuits hardware description language - VHDL"

Transcription

1 - מדריך למשתמש: נספח 1 מדריך מקוצר לתכנון בסביבת אלטרה רכיבי הלוגיקה המיתכנתים של חברת אלטרה נתמכים ע"י תוכנת. MAX+plus II התוכנה תומכת ברוב סוגי הרכיבים המתוכנתים הקיימים כיום בשוק, ביניהם הסדרות הקלאסיות (לדוגמת,( EP610 סדרות MAX7000, MAX5000 וסדרת ה- FLEX FPGA התוכנה תומכת במספר שיטות תכנון שונות - א. - AHDL כתיבת משוואות הפעלה בעורך טקסט ב. Very High Speed Intgrated Circuits hardware description language - VHDL ג. תכנון גראפי בעזרת שרטוט מעגל לוגי ד. תכנון על פי האותות בזמן. בדפי הסבר אלו נתמקד בכתיבת משוואות ההפעלה ב-, AHDL שהוא התכנון הפשוט והמיידי מבין הארבעה, המאפשר תכנון מלא של רכיב או מערכת מוכללת, וכן הסבר על קומפילציה וסימולציה של רכיב. דפי הסבר אלו באים לתת הסבר בסיסי על העבודה ברכיבי אלטרה, ולא באים להחליף לימוד מלא ויסודי של עבודה זו. דפי ההסבר מקנים יכולת עבודה בסיסית, אך עם זאת מאפשרת תכנון מלא של רכיב. האפשרויות הגלומות בתכנון ע"י תוכנת MAX+plus II הן כמעט בלתי מוגבלות, ואפשר ומומלץ להעמיק את הידע מתוך הספר. MAX+plus II - AHDL כמו כן מומלץ מאוד לבצע את פרק ה-, TUTORIAL המופיע בספר MAX+plus II - Getting Started 77

2 תקציר: PLD תוכנת MAX+PLUS 2 הינה תוכנה ייעודית לתכנון סימלוץ ובדיקה של רכיבי מבית.ALTERA לרשות המתכנן עומדים מגוון כלי תכנון: - GRAPHIC EDITOR ממשק המאפשר למתכנן להשתמש במגוון רכיבים (שערים לוגיים דיסקרטיים, משפחות לוגיות כגון, 74xx רכיבי זיכרון, פונקציות מוכנות מראש ועוד) ולחבר ביניהם בצורה גרפית. - TEXT EDITOR עורך טקסט המאפשר תכנון בשפות AHDL - Altera Hardware Description Language VHDL - Very High Speed Integrated Circuit VHSIC - Hardware Description Language העורך מאפשר שימוש בתבניות מוכנות (Templates) עזרה נגישה HELP) (Contexet Sensitive ואפשרות ל.Syntax Coloring - WAVEFORM EDITOR כלי חשוב זה משמש תפקיד כפול: כלי גרפי ליצירת וקטורי בדיקה לסימולטור וצפיה בתוצאות הסימולציה (במבנה נוח של צורות גלים). כלי תכנון המאפשר להורות לקומפיילר ליצור תכנון המיישם מבנה גרפי של צורות גלים רצויות. -FLOORPLAN EDITOR ממשק המאפשר למתכנן להתערב בקביעת המיקום והמשאבים שיתפוס התכנון על גבי רכיב היעד. - COMPILER לב המערכת היוצר מכלי התכנון את הקבצים הדרושים לתכנות הרכיב וסימלוצו. כל תכנון עובר בקומפיילר בדיקת טעויות, סינתזה של הלוגיקה (כוללת מספר גישות צמצום), התאמת הלוגיקה למשאבי רכיב היעד, יצירת קבצי מוצא למטרת סימולצית זמנים ואנליזת זמנים וכן יצירת קובץ תכנות לרכיב היעד. - SIMULATOR כלי בדיקה המאפשר הרצת סימולציות לוגיות (של קיבצי (Waveform תוך שימוש בנתוני הקומפילציה ותזמוני רכיב היעד. בנוסף ניתן לבצע סימולציה לוגית (ללא התחשבות בזמנים), וכן סימולציה של מעגל המכיל מספר רכיבי.PLD הסימולטור מאפשר הכנסת מקרי BRAKE POINT וכן בדיקות כגון עבירות, Setup\Hold time Glitches, Oscilations וכד. 78

3 -TIMING ANALYZER מאפשר ביצוע אנליזת זמנים לפרויקט לאחר שעובד ע"י הקומפיילר. בעזרת כלי זה ניתן לאתר את המעברים הקריטיים המגבילים את ביצועי התכנון ולנסות לתקן את התכנון בהתאם. האנליזה מתמקדת בשלושה תחומים: - DELAY MATRIX מאפשר לחשב את זמן ההתפשטות הקצר או הארוך ביותר של סיגנל בין שתי נקודות במעגל. - SETUP/HOLD MATRIX מחשב את זמני ההכנה וההחזקה המינימליים של סיגנלים הדוחפים רכיבים מסונכרנים Latches) (Flip-Flops, יחסית לשעון. - REGISTERED PERFORMANCE מחשב את תדר השעון המקסימלי האפשרי במעגל ע"י חישוב זמן ההתפשטות המקסימלי בין מוצא של רגיסטר המקור וכניסה של רגיסטר היעד. - PROGRAMMER בעזרת כלי זה ניתן לתכנת את רכיב היעד וכן לבדוק את תפקודו באמצעות וקטורי בדיקה. - SYMBOL EDITOR ממשק המאפשר ליצור סמלים לפונקציות לוגיות שנוצרו ע"י כל אחת מגישות התכנון וכך לשלב פונקציות אלה לקובץ תכנון גרפי.Graphic Editor 79

4 פתיחת פרויקט חדש מתוך התפריט FILE בחר. PROJECT NAME רשום את שם הפרויקט החדש או בחר פרויקט מתוך הקיימים. ודא ששם הפרויקט מופיע בראש החלון. בחר NEW מתוך התפריט, FILE בחר TEXT EDITOR FILE מתוך האפשרויות הקיימות. מבנה קובץ TDF החלק הראשון של קובץ AHDL הוא, SUBDESIGN שבו יוגדרו הכניסות והיציאות של המערכת. החלק השני הוא. VARIABLE בחלק זה יוגדרו המשתנים הפנימיים של הרכיב, לרבות משתני מכונת מצבים, משתני טבלאות אמת, הגדרות, Primitives ורכיבי ספריה. החלק השלישי הוא גוף התכנון, התחום במילים BEGIN ו-. END בחלק זה יובאו משוואות ההפעלה, טבלאות האמת, מכונת המצבים, הגדרות תנאים, חיבורי ה-, Primitives חיבורי רכיבי הספריה והכניסות והיציאות. ניתן להתחיל את הקובץ בחלק אשר בו יוגדרו סוג הרכיב וחיבורי הפינים החיצוניים. חלק זה נקרא, DESIGN וצמוד אליו שם סימבולי - כשם ה-. SUBDESIGN לא נפרט כאן את השימוש באופציה זו, וההשמה תיעשה דרך התפריט הראשי, בתפריט. ASSIGN ההגדרות ישמרו כחלק מהפרויקט. SUBDESIGN בראש חלק זה מובאת ההגדרה SUBDESIGN ולאחריה שם התכנון, ששמו כשם קובץ הטקסט ) *.tdf.( רשימת המשתנים תובא בתוך סוגריים. 80

5 לאותות יוצמד שם, יוכרז סוג האות. האותות מופרדים ע"י פסיקים, בסופם נקודתיים, אחריהם סוג האות ובסופם נקודה-פסיק. סוגי האותות הם:. BIDIR, OUTPUT, INPUT ניתן להצמיד לאות מסוג INPUT את מצב ברירת המחדל שלו - VCC או. GND ניתן לחבר קבוצות של אותות לשם אחד, ע"י ].. [. דוגמא: SUBDESIGN top ( foo, bir, clk1, clk2 : INPUT=VCC; a0, a1, a2, a3 : OUTPUT; b[7..0] : BIDIR; ) אזור המשתנים חלק זה מתחיל במלה. VARIABLE שמות של משתני משתמש מופרדים ע"י פסיקים, בסופם נקודתיים, אחריהם סוג המשתנה. המשתנים יכולים להיות Primitive, Node או. State Machine ההכרזה מסתיימת בנקודה-פסיק. הכרזה על משתנה מסוג NODE NODE הוא משתנה רב שימושי, אסינכרוני, המגדיר אות, המשתנה יכול להופיע בשני אגפי משוואות ההפעלה. דוגמא : ולא הוגדר ב-. SUBDESIGN VARIABLE b : NODE BEGIN b = a; out = b % therefore out = a % END; 81

6 הכרזה על רגיסטר הכרזה על, Primitive הנעשית בחלק זה, מאפשרת שימוש מגוון ברגיסטרים - פליפ-פלופים מסוגים שונים ובריחים (LATCH). דוגמא: זוהי הכרזה על D-Flip-Flop בשם VARIABLE a : DFF. a עבור דלגלג זה, אפשר להשתמש בכניסות/יציאות הבאות: כניסה שעון Clear Preset יציאה a.d a.clk a.clr a.prn a.q הכרזה על מכונת מצבים הכרזה על מכונת מצבים נעשית בחלק זה, ואילו ההתנהגות בחלק הלוגי. שם המכונה הוא שם סימבולי, אחריו נקודתיים והמילה. MACHINE ההכרזה חייבת לכלול רשימת מצבים. אפשר להכריז על שמות לביטי המכונה, ברשימה מסוגרת ומופרדת בפסיקים, אחרי BITS:. OF רשימת שמות סימבוליים למצבים ברשימה מסוגרת, מופרדת בפסיקים, אחרי STATES:. WITH ההכרזה מסתיימת בנקודה-פסיק. אפשר להשים לביטי המצבים ערך מספרי אחרי הסימן =, שיקבע את מצב הביטים. דוגמא: VARIABLE ss : MACHINE OF BITS (q1, q2, q3) WITH STATES ( s1 = B"000", s2 = B"010", S3 = B"111" ); אזור לוגי באזור זה מגדירים את הפעולות הלוגיות של קובץ טקסט. 82

7 אזור זה מתחיל במילה BEGIN ומסתיים במילה, END שאחריה נקודה-פסיק. באזור זה מגדירים משוואות בולאניות, משוואות בקרה לרגיסטרים, הגדרות, CASE הגדרות, IF וטבלאות אמת. משוואות בולאניות המשוואות מתארות קשרים בין כניסות, יציאות, Primitives, Nodes ומכונות מצבים. אגף שמאל הוא שם סימבולי, קבוצה או פין. אופרטור הניגוד )! ( מכריז על לוגיקה שלילית. אגף ימין מופרד משמאל ע"י הסימן =, ופועל על פי סדר פעולות חשבון. התו & הוא אופרטור, AND התו # הוא, OR התו $ הוא.XOR דוגמא: BEGIN END;!a = b & c # d; (a, b, c, d) = e[4..1]; (a, b) = B"01"; התניות וברירת מחדל IF מפרט סדרה של התנהגויות המותנות בקיום של ביטויים בולאנים. ביטוי בולאני מפריד בין המילים IF ו-, THEN ואחריו הגדרות התנהגותיות, ונקודה-פסיק. ביטוי בולאני נוסף יכול להכלל בין המילים ELSIF ו-. THEN הגדרת התנהגות לאי קיום הביטוי הבולאני תבוא אחרי המילה. ELSE סוף רשימת התנהגויות במלים, END IF ונקודה-פסיק. ברירת מחדל של אות היא (GND) 0. הגדרת ברירת מחדל אחרת, בין המילים DEFAULTS ו-, END DEFAULTS ואחריהן נקודה- פסיק. 83

8 דוגמא: BEGIN DEFAULTS a = VCC; END DEFAULTS END; IF y & z THEN a = d; b = c; ELSEIF y == st.q THEN ftt.d = y; ELSE c = VCC; ENDIF; משוואות בקרה לרגיסטרים כאן מגדירים חיבורים לרגיסטרים. חייבים לחבר את כניסת השעון, השאר אופציונלי. הכרזה מסתיימת בנקודה-פסיק. דוגמא: BEGIN END; ff.clk = CLK1; ff.d = a & b; ff.d = tff.q; טבלאות אמת בטבלאות אמת מתאימים לכל קומבינציה בולאנית של כניסות קומבינציה של יציאות. הגדרת הטבלה מתחילה במילה, TABLE ומסתיימת ב-, END TABLE ונקודה פסיק. הכותרת לטבלה היא הכניסות מופרדות בפסיקים, הסימן <=, היציאות ונקודה-פסיק. שורות הטבלה יהיו באותו פורמט של הכותרת. האות X מסמלת. Don't Care דוגמא: BEGIN END; TABLE a, b, f[4..1].q => c, d; 0, 1, B"0000" => 1, 0; 1, X, B"0010" => 1, 1; END TABLE; 84

9 מכונת מצבים BEGIN ההשמות והמעברים במכונת מצבים מוגדרים כמשוואת בקרה וכטבלת אמת. הדוגמא הבאה ממשיכה את הדוגמא של הכרזת מכונת מצבים, שניתנה קודם: ss.clk = CLK1; ss.reset = HARD_RESET; TABLE ss, a => ss; END; s1, 1 => s2; s1, 0 => s1; s2, 1 => s3; s2, 0 => s2; s3, X => s1; END TABLE; 85

10 הנחיות בעבודה עם העורך הגרפי: תכנון באמצעות GDF - GRAPHICממשק EDITOR המאפשר למתכנן להשתמש במגוון רכיבים (שערים לוגיים דיסקרטיים, משפחות לוגיות כגון, 74xx רכיבי זיכרון, פונקציות מוכנות מראש ועוד) ולחבר ביניהם בצורה גרפית. העורך הגרפי מתאים במיוחד לתכנונים פשוטים הנתנים למימוש באמצעות מספר רכיבים לוגיים. או למטרת חיבור של מספר רכיבים שתוכננו בעזרת עורך הטקסט לפרוייקט מורכב. שימושו של העורך הגרפי הוא אם כך בד"כ ברמות הגבוהות יותר של התכנון. הנחיות בעבודה עם העורך הגרפי: בחר את הרכיבים הדרושים לתכנון מתוך ספריית הרכיבים של תוכנת. Max+Plus II click) double בנקודה כלשהי במסך יפתח חלון בו ניתן לבחור את הרכיב הדרוש ממגוון ספריות רכיבים.) הוסף את הכניסות והיציאות הדרושות לתכנון ותן להם שמות. חבר באמצעות חוטים (השתמש בעכבר) את הרכיבים בהתאם לתכנון הרצוי. שמור את התכנון בקובץ. כעת ניתן להריץ קומפילציה וסימולציה על התכנון למטרת בדיקתו. קומפילציה בחר COMPILER מתוך התפריט. MAX+plus II 1. בחר DEVICE מתוך התפריט ASSIGN,בחר רכיב לפי הצורך התיכנוני - קודם משפחת רכיבים, ואחריה הרכיב הדרוש, למשל.EP220-PC-10 2.מתוך תפריט PROCESSING בחר ב- Timing SNF Extractor וכן ב-.Design Doctor.3 לחץ על. START בחר FLOORPAN EDITOR מתוך התפריט. MAX+plus II לפניך בתחתית המסך נמצא הרכיב שאותו בחרת כשהוא ריק. עליך לשבץ לתוכו את כל הכניסות והיציאות, המופיעות בצד העליון הימני של המסך. השבוץ יעשה בהתאם לשרטוט המופיע, לכל רכיב, בחוברת. 86

11 כעת, חזור שוב ל- COMPILER ולחץ שוב על.START ישנן עוד אופציות רבות ומגוונות לקומפילציה, כמו למשל יצירת קבצי VHDL ו-, VERILOG נתח לוגי וכו'. פירוט על כך בספר. User Guide סימולציה הסימולטור ב- MAX+plus II הוא פשוט להפעלה והוא כלי חשוב בבדיקת התכנון. לאחר הקומפילציה על תוכניתך -.1 בחר NEW מהתפריט. FILE 2. בחר קובץ סימולציה (סיומת ). SCF כעת אתה נמצע בקובץ עליו תבצע את הסימולציה. 3. בחר END TIME בתפריט FILE קבע את אורך הזמן שאתה רוצה לבצע בו את הסימולציה. 4. בחר GRID SIZE בתפריט OPTIONS ודאג שיהיה בקצב של השעון המהיר ביותר במערכת שלך. 5. ישנן שתי שיטות להכניס ערוץ לסימולציה הכנסת ערוץ בודד: ע"י כניסה ל- NODE ובחירת ENTER NODE FROM SNF או DOUBLE CLICK על שטח ריק בטבלת הערוצים. פעולה כזאת תפתח חלון ובו ניתן לראות את כל הערוצים האפשריים ע"פ סוגים ע"י סימונם ב- X ולחיצה על.LIST ניתן לבחור אחד מהם ע"י לחיצה על העכבר. 6. סוגי הערוצים - : OUTPUT ו- INPUT.6.1 הם כל הכניסות והיציאות שהוגדרו בקבצים שקומפלו בפרויקט. חובה לבחור את כל הכניסות שמגדירות את היציאות או המשתנים שברצונך לראות. ערוץ כניסה שלא הוגדר יגרום שיציאות או המישתנה יוצגו במצב של חוסר הגדרה. 87

12 : GROUP.6.2 מציג את כל קבוצות הכניסות שמוגדרות תחת אותו שם לדוגמא: DATA[5..1]. : REGISTER.6.3 מציג את כל המשתנים המוגדרים כרגיסטרים או פליפלופים. : COMBINATORIAL.6.4 מציג מצבים לוגים בנקודות מסוימות בתכנון. השלב הבא הוא הגדרת הכניסות. 7. הגדרת שעון: 7.1. בחירת ערוץ השעון ע"י העכבר בחר מהתפריט EDIT את, OVERWRITE ובחר. COUNT VALUE בתפריט זה ניתן לקבוע את קצב השעון ביחס ל- GRID שבחרת, ברירת המחדל היא 1. לחצה על OK תאשרר פעולה זו. ניתן לבחור קטעים מתוך הערוץ או את כולו ע"י סימון עם העכבר או בהתאמה. קטעים אלו ניתן להגדיר במצבים לוגים שונים ע"י כניסה לתפריט DOUBLE OVERWRITE CLICK בתפריט. EDIT 9. שמור את הקובץ שיצרת..10 בחר ב- SIMULATOR בתוך תפריט MAX+plus II ולחץ. OK 11. הקובץ שיצרת מוכן כנס ובדוק אם התוצאות כפי שרצית. 12. ניתן לבצע שינויים בקובץ הסימולציה ולבדוק שוב את התוצאות. הערה חשובה: ההסבר לעיל מאפשר למשתמש לבצע סימולציה בסיסית על MAX+plus II.ישנן אופציות רבות ונוספות בסימולציה שלא כוסו בהסבר לעיל כאן ומופיעות בספר ההסבר של ה-.MAX+plus II כמו כן מומלץ לבצע היטב את פרקים 8-9 בחלק ה- TUTORIAL של הספר GETTING STARTED המכסים בצורה ברורה למדי את הנושא. אחוד מספר פרוייקטים לסימולציה עתה, כשברשותך ארבעת הרכיבים שתכנתת - SYSBC2, RESET, WSG, SYSBC1, וכן ארבעה רכיבים מוכנים - DECODERS, BUS TRACKER & 3 88

13 אפשר לגשת לשלב האחרון שהוא אחוד כל הרכיבים לפרוייקט אחד גדול לצורך סימולציה. לשם כך הוכן לך מבעוד מועד הקובץ - c:\lab1\project.gdf ובו כל הרכיבים וכן כל החיבורים הנדרשים בין הרכיבים. עליך להעתיק לתוך ה- directory lab1 את כל הקבצים שיצרת, כולל כל ה- extensions שלהם, לדוגמה: לא מספיק להעתיק רק את wsg.tdf,צריך גם את... wsg.acf wsg.snf וכו. - בחר COMPILER מתוך התפריט. MAX+plus II - בחר LINKED SNF EXTRACTOR וכן.TOTAL RECOMPILE - לחץ.START עכשיו הפרוייקט מוכן וכל שעליך לעשות הוא ליצור סימולציה באותו אופן כמו בסעיף 4. 89

14 מכונות מצבים סינכרוניות מכונות מצבים סינכרוניות הינן אבן בניין חשובה בתכנון ב כיוון שזו הדרך הנוחה והטובה ביותר למימוש בקרה או אלגוריתם. מכונת מצבים סינכרונית כהגדרתה בנויה ממספר סופי של מצבים שהמעבר ביניהם מוגדר היטב ומותנה במצב הכניסות ברגע עלית השעון. קימות שתי שיטות עיקריות למימוש מכונות מצבים ב: One Hot State Machine Encoding Binary Encoding One Hot Encoding דוגמא נגדיר את המכונה הבאה שלה ארבעה מצבים : state3 state2 State1 Reset הקצאת המצבים תעשה באופן הבא: Reset=0000 State1=1100 State2=1010 State3=1001 הסבר: למכונה יוקצו רגיסטרים כמספר המצבים. המצב הראשון אפסים. ( Reset זה (במקרה מוגדר כמצב האתחול של המכונה ומיוצג ע"י סדרת המכונה מתעוררת במצב זה וניתן להגיע אליו בצורה אסינכרונית ע"י איפוס אסינכרוני של כל הרגיסטרים. מצב האתחול הוא המצב היחידי שבו ה MSB לעבור בטעות למצב האתחול (לדוגמא ע"י מרוץ). לכל מצב במכונה (למעט מצב האתחול) מוקצה רגיסטר יחיד. X רגיסטר X שהוקצה לה הוא היחידי שדולק הוא אפס וזאת כדי למנוע משאר המצבים כאשר מכונה נמצאת במצב (למעט ה MSB שנשאר זהותית אחד). הלוגיקה שדוחפת את הרגיסטרים דואגת לחילופי המצבים בהתאם לתנאים שהוגדרו מראש. כיוון שהרגיסטרים בלבד (מכונה סינכרונית). לדוגמא מעבר ממצב State1 State2 (שני מימין) להדלק. למצב פעילים בעליות שעון שינוי מצב המכונה יתכן בעליות שעון State2 גורם לרגיסטר State1 (שלישי מימין) להכבות ולרגיסטר 90

15 חסרונות: מימוש זה בזבזני מאד מבחינת רגיסטרים במיוחד כשמספר המצבים במכונה גדול. כמות המצבים שאינם מוגדרים ע"י המכונה (קימים 2 n מצבים ומתוכם רק מוגדרים) n גורם זה מערער את יציבותה של המכונה הולכת ועולה בהתאם לגודל המכונה. ומגדיל את הסיכויים שהמכונה תגיע בטעות (ע"י מרוץ) למצב לא מוגדר ותתקע. יתרונות: הלוגיקה הדרושה כדי להגדיר את המעבר ממצב למצב במכונה מסוג זה קטנה יחסית ופשוטה למימוש כך שבד"כ מכונה זו חסכונית יותר במשאבי לוגיקה אסינכרונית. מכונה זו מסוגלת לעבוד בקצב מהיר יותר תודות לחיסכו בלוגיקה אסינכרונית. קידוד המצבים אינו מצריך תוספת לוגיקה (השאלה האם אנו במצב הפשוט האם רגיסטר X דלוק). קומפיילר התוכנה משתמש בקידוד זה כברירת מחדל. X שקולה לתנאי Binary Encoding דוגמא נגדיר את המכונה הבאה שלה ארבעה מצבים : state3 state2 State1 Reset הקצאת המצבים תעשה באופן הבא: Reset=00 State1=01 State2=11 State3=10 הסבר: למכונה יוקצו רגיסטרים כמספר לוג לפי בסיס 2 של מספר המצבים. המצב הראשון אפסים. (במקרה זה ( Reset מוגדר כמצב האתחול של המכונה ומיוצג ע"י סדרת המכונה מתעוררת במצב זה וניתן להגיע אליו בצורה אסינכרונית ע"י איפוס אסינכרוני של כל הרגיסטרים. כל מצב במכונה מקודד ע"י כל הרגיסטרים כספרה בינארית. הקידוד נעשה בהתאם לקוד גריי כך שבמעבר ממצב למצב ישתנה רק ביט אחד (הגנה מפני מרוצים). 91

16 הלוגיקה שדוחפת את הרגיסטרים דואגת לחילופי המצבים בהתאם לתנאים שהוגדרו מראש. כיוון שהרגיסטרים פעילים בעליות שעון שינוי מצב המכונה יתכן בעליות שעון בלבד (מכונה סינכרונית). לדוגמא מעבר ממצב לוגי). חסרונות: State1 למצב State2 גורם לרגיסטר הMSB להחליף מצב (מאפס לוגי לאחד הלוגיקה הדרושה למעבר ממצב למצב הולכת ומסתבכת ככל שגודל המכונה עולה כך שהשיטה בזבזנית יותר מבחינת לוגיקה אסינכרונית. גודל הלוגיקה האסינכרונית מגביל את קצב העבודה של המכונה. יש צורך בתוספת לוגיקה כדי לפענח את מצב המכונה (פענוח הספרה הבינארית ). יתרונות: מספר המצבים הלא מוגדרים קטן יחסית כך שהסיכוי שהמכונה תתקע במצב לא מוגדר קטן. - מכונה יציבה יותר. בעזרת קידוד גריי ניתן להקטין את הסיכוי למרוצים. -מכונה יציבה יותר. השיטה חסכונית יותר במספר הרגיסטרים. שיטה זו אופטימלית בעיקר למכונות קטנות שימוש ב FLOOR PLAN EDITOR למטרת PIN ASSIGNMENT שלבי העבודה.Assign Device.MaxPlus2 1. בחר את סוג הרכיב מתוך תפריט 2. היכנס ל Floorplan Editor באמצעות תפריט ה Floor Plan משמש להצגת המיקום הפיזי של התכנון על הרכיב. אנו מעונינים במיקום הרגליים החיצוניות ולכן נבחר מבט מבחוץ. 3. בחר מתוך תפריט Layout ב.Device view ה Floor Plan מסוגל להציג את המיקום הפיזי שבחר הקומפיילר או לאפשר למתכנן המיקום בעצמו. אנו מעוניינים באפשרות השניה. לקבוע את 4. בחר מתוך תפריט Layout ב.Current Assignment 92

17 כעת מופיע במרכז המסך שרטוט של הרכיב הכולל את מספרי הרגליים ותפקידם (רגלי מתח רגלי I/O רגליים שמורות וכד). בצדו העליון הימני של המסך מופיעים שמות הסיגנלים הרלוונטיים לתכנון (מתוך הקומפילציה האחרונה ). הסיגנלים מתחלקים לקבוצות: I רגלי כניסה. O רגלי מוצא B סיגנלים פנימיים שניתן להוציא מחוץ לרכיב (אין חובה להגדירם). 5. כדי לקבוע מיקום של סיגנל ברגל ספציפית יש לבחור את הסיגנל מתוך הרשימה ולגרור אותו באמצעות העכבר אל הרגל המבוקשת. חשוב להתאים את סוג הסיגנל לסוג הרגל Input) ל Input וכד.) כמו כן סיגנלים מיוחדים כגון שעון יש להתאים לרגליים המיועדות לשם ביצועים מקסימליים. 6. ניתן במקרה של טעות לגרור סיגנל מרגל לרגל ואפילו בחזרה לתיבה. 7. אין צורך לקבוע את מיקום כל הסיגנלים הקומפיילר ישלים את המלאכה. 8. בתום התהליך יש לסגור את העורך (השינויים נשמרים אוטומטית) ולהריץ קומפילציה כדי לתת תוקף להגדרות החדשות. ייתכן והקומפיילר לא יצליח להתאים את התכנון לדרישות (במיוחד בתכנונים עמוסים). 93

18 Reserved Pins לעיתים יש צורך להורות לקומפיילר לא להשתמש ברגליים מסוימות (Reserved) מסיבה שלמעגל יש שימוש אחר ברגליים אלה. כדי להגדיר רגליים אלה כשמורות נפעל באופן הבא: 1. נוסיף לתכנון המקורי רגלי דמה כמספר הרגליים שברצוננו לשמור. לדוגמא בקובץ טקסט : reserved [3..0] : input ; 2. נגדיר רגליים אלה באמצעות ה Floor Plan במיקום של הרגליים שברצוננו לשמור הקומפיילר יודיע במהלך הקומפילציה שהוא מצא הגדרה לרגליים שאין בהם שימוש ולכן הוא מתייחס אליהן כרגליים שמורות.Reserved 94

19 Linked Simulation כלי זה מאפשר להריץ סימולציה של תכנון הכולל יותר מרכיב PLD אחד. הרצת Linked- Simulation כוללת את השלבים הבאים: יש לתכנן ולהעביר קומפילציה לכל רכיב בנפרד. יש לייצר לכל רכיב יש להגדיר לכל רכיב Symbol ולחבר בין הרכיבים בקובץ גרפי. Chip שונה לפי השלבים הבאים: 1. בחר את הרכיב הראשון באמצעות העכבר. 2. בחר באופציה Pin/Location/Chip מתוך תפריט.Assign 3. תן שם לchip. 4. בחר באופציה 5. הקש אישור..Chip ל Device והתאם Assign Device 6. בצע את אותו תהליך לשאר הרכיבים. 7. ניתן באמצעות תפריט Assign Device ע"י אופציה Edit Chips לערוך שינויים סופיים בהגדרות. יש להעביר לקובץ התכנון הגרפי קומפילציה המיועדת ל Linked - Simulation באופן הבא: 1. היכנס למסך הקומפיילר באמצעות תפריט 2. היכנס לתפריט Processing ובחר באופציה 3. לחץ על לחצן Start כדי להתחיל בקומפילציה..MaxPlusII.Linked SNF Extractor בסיום הקומפילציה נוצר קובץ תזמון SNF הרכיבים. שבעזרתו יבצע הסימולטור את סימולצית שני כדי לחזור למצב הרגיל יש לבחור בתפריט Processing באופציה.Timing SNF Extractor 95

20 שימוש במודולי LPM בתכנון מודולי LPM הינם תכנונים מוכנים מראש של חברת ALTERA לפונקציות לוגיות נפוצות. יתרונן של פונקציות אלה בכך שהן גמישות לדרישות המתכנן וע"י פרמטרים ניתן להתאים אותן לתכנון הספציפי. גישת התכנון: 1. נשתמש בתפריט העזרה כדי לקבל מידע על סוגי ה LPM הקיימים. 2. חשוב מאד לעבור על קובץ העזרה כדי לקבל פרוט מירבי על כל מודול: הפונקציה אותה הוא מממש. כניסות ויציאות (הכרחיות ואופציונליות). הפרמטרים הקובעים את התנהגות הרכיב ומשמשים להתאמתו לתכנון. האם קיימות מספר גישות מימוש ואם כן מהם ביצועיו מבחינת מהירות או לחילופין כמות המשאבים שהוא צורך. לאחר שבחרנו ב LPM מתאים קיימות שתי גישות לייבא אותו אל התכנון: הגישה הגרפית: במידה ובחרנו להשתמש בעורך גרפי נפעל לפי השלבים הבאים: נבחר במודול LPM המבוקש מתוך ספריית הרכיבים ) Double-Click ). המודול מופיע על המסך בצורת Symbol ומייד נפתח חלון הפרמטרים שלו. בחלון זה ניתן לבצע התאמת המודול לתכנון באמצעות שליטה בפרמטרים כמו כן ניתן להוסיף כניסות אופציונליות. החלון מאפשר גישה נוחה לקובץ העזרה שם ניתן כל המידע הדרוש למתכנן. נקבע את הפרמטרים לפי בחירתנו. לאחר שביצענו את כל השינויים הדרושים נלחץ.OK המודול מופיע על המסך כאשר הפרמטרים העיקריים מופיעים בחלונית. ניתן כעת לחבר אותו לשאר התכנון! בכל עת ניתן לחזור ולשנות את הפרמטרים ע"י Double-Click על החלונית. 96

21 גישת :INCLUDE (בתוך (TDF יצירת קובץ Include הינה דרך לייבא מודול או תכנון כלשהו לקובץ טקסט. קובץ זה מכיל את כל הכניסות היציאות והפרמטרים של המודול החיצוני וניתן לקבוע את החיבורים והערכים בקובץ הטקסט. נפעל לפי השלבים הבאים: 1. יש להגדיר את קובץ ה INCLUDE בראשית קובץ הטקסט באמצעות הפקודה: לדוגמא: 2.יש להגדיר תחת חלק המשתנים לדוגמא: (Variable) שם פנימי למודול באופן הבא: 3. יש לקבוע ערכים לפרמטרים (אם יש צורך) ע"י הפקודה with באופן הבא: INCLUDE המודול ; INC.שם INCLUDE lpm_add_sub.inc ; VARIABLE ; שם המודול : שם_פנימי add : lpm_add_sub ; add : lpm_add_sub with (lpm_width=8); 4. כעת ניתן להשתמש במודול בחלק הלוגי של התכנון תחת שמו הפנימי לדוגמא: כלומר לכניסה clk של המודול add חיברנו סיגנל הנקרא add.clk=clock;.clock הערות: הקומפיילר יודיע על כל שגיאה או חריגה בטיפול במודול. פרמטרים שלא הוגדרו לעיתים מקבלים ערכי ברירת מחדל יש לשים לכך לב. כניסות אופציונליות שאינן בשימוש (כלומר אין אליהן התיחסות בקובץ) אינן ממומשות ע"י הקומפיילר (חיסכון במשאבים). ניתן להשתמש מספר פעמים באותו מודול תחת שמות פנימיים שונים (ניתן כמובן לקבוע לכל אחד פרמטרים שונים. 97

המבנה הגאומטרי של מידה

המבנה הגאומטרי של מידה התוכנה מאפשרת לרשום מידות מסוגים שונים בסרטוט, במגוון סגנונות ובהתאם לתקנים המקובלים. רצוי לבצע מתן מידות בשכבה המיועדת לכך. לכל מידה יש תכונות של בלוק. תהליך מתן המידות מתחיל תמיד מקביעת סגנון המידות.

More information

מכונת מצבים סופית תרגול מס' 4. Moshe Malka & Ben lee Volk

מכונת מצבים סופית תרגול מס' 4. Moshe Malka & Ben lee Volk מכונת מצבים סופית תרגול מס' 4 1 מכונת מצבים סופית Finite State Machine (FSM) מודל למערכת ספרתית מכונת מצבים סופית: קלט: סדרה אינסופית של אותיות...,I3,I1,I2 בא"ב input out פלט: סדרה אינסופית של אותיות O

More information

ASP.Net MVC + Entity Framework Code First.

ASP.Net MVC + Entity Framework Code First. ASP.Net MVC + Entity Framework Code First 1 הקדמה בפרק הזה יוצג שימוש בFirst EntityFramework Code עבור ה use case הבאים : ASP.Net MVC ASP.Net Web API ASP.Net MVC + Scaffolding הערה : Framework Entity הוצג

More information

טכנולוגיית WPF מספקת למפתחים מודל תכנות מאוחד לחוויית בניית יישומיי

טכנולוגיית WPF מספקת למפתחים מודל תכנות מאוחד לחוויית בניית יישומיי WPF-Windows Presentation Foundation Windows WPF טכנולוגיית WPF מספקת למפתחים מודל תכנות מאוחד לחוויית בניית יישומיי Client חכמים המשלב ממשקי משתמש,תקשורת ומסמכים. מטרת התרגיל : ביצוע אנימציה לאליפסה ברגע

More information

לשרטוט חשמלי בתוכנת OrCad-Capture

לשרטוט חשמלי בתוכנת OrCad-Capture חוברת עזר לשרטוט חשמלי בתוכנת OrCad-Capture כתב: רימונד מנסור סמסטר חורף תשס"ח 1 .1 הקדמה תוכנת ה - OrCad מהי?... 3 2. התחלת פרויקט חדש... 3 2.1 הפעלת תוכנת ה-... OrCad 3 2.2 פתיחת פרויקט חדש... 4 2.3

More information

תצוגת LCD חיבור התצוגה לבקר. (Liquid Crystal Display) המערכת.

תצוגת LCD חיבור התצוגה לבקר. (Liquid Crystal Display) המערכת. 1 (Liquid Crystal Display) תצוגת LCD בפרויקט ישנה אפשרות לראות את כל הנתונים על גבי תצוגת ה- LCD באופן ברור ונוח. תצוגה זו היא בעלת 2 שורות של מידע בעלות 16 תווים כל אחת. המשתמש יכול לראות על גבי ה- LCD

More information

מדריך לתכנת הגימפ Gimp) (The חלק מהמידע במדריך זה מובא מהקישור- http://www.jlc.org.il/forums/viewtopic.php?p=900&sid=d801ea3d13f7ae97549e28a56a4ce0cb GIMP היאתכנה חופשיתרבתאפשרויותבתחום הגראפיקהועריכתהתמונות,

More information

אנגלית (MODULE E) בהצלחה!

אנגלית (MODULE E) בהצלחה! 3 בגרות סוג הבחינה: מדינת ישראל חורף תשע"ט, 2019 מועד הבחינה: משרד החינוך 016481 מספר השאלון: א. משך הבחינה: שעה ורבע אנגלית שאלון ה' (MODULE E) ג רסה א' הוראות לנבחן מבנה השאלון ומפתח ההערכה: בשאלון זה

More information

קשירות.s,t V שני צמתים,G=(V,E) קלט: גרף מכוון מ- s t ל- t ; אחרת.0 אם יש מסלול מכוון פלט: הערה: הגרף נתון בייצוג של רשימות סמיכות.

קשירות.s,t V שני צמתים,G=(V,E) קלט: גרף מכוון מ- s t ל- t ; אחרת.0 אם יש מסלול מכוון פלט: הערה: הגרף נתון בייצוג של רשימות סמיכות. סריקה לרוחב פרק 3 ב- Kleinberg/Tardos קשירות.s,t V שני צמתים,G=(V,E) קלט: גרף מכוון מ- s t ל- t ; אחרת.0 אם יש מסלול מכוון פלט: הערה: הגרף נתון בייצוג של רשימות סמיכות. קשירות.s,t V שני צמתים,G=(V,E) קלט:

More information

Rules Game (through lesson 30) by Nancy Decker Preparation: 1. Each rule board is immediately followed by at least three cards containing examples of

Rules Game (through lesson 30) by Nancy Decker Preparation: 1. Each rule board is immediately followed by at least three cards containing examples of Rules Game (through lesson 30) by Nancy Decker Preparation: 1. Each rule board is immediately followed by at least three cards containing examples of the rule. (Choose three cards appropriate to the lesson

More information

Visual C# Express Edition 2005

Visual C# Express Edition 2005 1 הכרות עם Visual C# Express Edition 2005 C# מדריך מצולם להתקנה ועבודה ראשונית עם Express ארז קלר www.coner.co.il 2 C# Express מייקרוסופט פיתחה סדרת כלי פיתוח חינמיים עבור סטודנטים,תלמידים ומפתחים חובבים.

More information

Practical Session No. 13 Amortized Analysis, Union/Find

Practical Session No. 13 Amortized Analysis, Union/Find Practical Session No. 13 Amortized Analysis, Union/Find Amortized Analysis Refers to finding the average running time per operation, over a worst-case sequence of operations. Amortized analysis differs

More information

מדריך לניהול VPS טריפל סי מחשוב ענן בע"מ.

מדריך לניהול VPS טריפל סי מחשוב ענן בעמ. מדריך לניהול VPS עמוד מספר 1 ניהול VPS Parallels Virtuozzo מכונות וירטואליות מבוססות טכנולוגיית VPS (Virtual Private Server).Containers למעט מספר מגבלות טכניות, ניתן לבצע במכונות אלו כל אשר ניתן לבצע בשרתים

More information

אנגלית שאלון ז' ג רסה א' הוראות לנבחן בהצלחה! )4( ההנחיות בשאלון זה מנוסחות בלשון זכר ומכוונות לנבחנות ולנבחנים כאחד. (MODULE G)

אנגלית שאלון ז' ג רסה א' הוראות לנבחן בהצלחה! )4( ההנחיות בשאלון זה מנוסחות בלשון זכר ומכוונות לנבחנות ולנבחנים כאחד. (MODULE G) 3 בגרות סוג הבחינה: מדינת ישראל חורף תשע"ט, 2019 מועד הבחינה: משרד החינוך 016582 מספר השאלון: א. משך הבחינה: שעה וארבעים וחמש דקות אנגלית שאלון ז' (MODULE G) ג רסה א' הוראות לנבחן מבנה השאלון ומפתח ההערכה:

More information

נספח: כישורי חשיבה )לפרק ראשון ושני( אנגלית (MODULE F) ספרות או: מילון אנגלי-ערבי / ערבי-אנגלי או: מילון אנגלי-אנגלי-ערבי

נספח: כישורי חשיבה )לפרק ראשון ושני( אנגלית (MODULE F) ספרות או: מילון אנגלי-ערבי / ערבי-אנגלי או: מילון אנגלי-אנגלי-ערבי בגרות לבתי ספר על יסודיים א. סוג הבחינה: מדינת ישראל בגרות לנבחני משנה ב. משרד החינוך בגרות לנבחנים אקסטרניים ג. קיץ תשע"ד, מועד ב, 2014 מועד הבחינה: מספר השאלון: 416 016117, Thinking Skills נספח: כישורי

More information

SPSS 10.0 FOR WINDOWS

SPSS 10.0 FOR WINDOWS האוניברסיטה העברית בירושלים הפקולטה למדעי החברה המח' למדע המדינה והמח' לסוציולוגיה ואנתרופולוגיה SPSS 10.0 FOR WINDOWS חוברת הדרכה בסיסית מירי בנטואיץ' הוכן לשיעור במתודולוגיה של ד"ר מיכאל שלו ירושלים,

More information

ניפוי שגיאות )Debug( מאת ישראל אברמוביץ

ניפוי שגיאות )Debug( מאת ישראל אברמוביץ ניפוי שגיאות )Debug( מאת ישראל אברמוביץ בדף העבודה יש תירגול בסביבת העבודה לשפת #C לסביבות עבודה אחרות. )2015 )Visual Studio אך היא מתאימה גם לשפת Java וגם o 1. ריצה של כל התוכנית ועצירה בסוף יש לבחור

More information

מנגנון 5522 מידע על המנגנון מצב תצוגת שעה

מנגנון 5522 מידע על המנגנון מצב תצוגת שעה מנגנון 5522 מידע על המנגנון בהתאם לדגם של השעון שלך, טקסט תצוגה יכול להופיע כרקע כהה על רקע בהיר, או תצוגה בהירה על רקע כהה. כל מציג המדגם במדריך זה מוצג באמצעות דמויות כהות על רקע בהיר. פעולות הלחצנים

More information

מספר השאלון: Thinking Skills נספח: כישורי חשיבה )לפרק ראשון ושני( א נ ג ל י ת (MODULE F) ספרות )מילון הראפס אנגלי-אנגלי-ערבי(

מספר השאלון: Thinking Skills נספח: כישורי חשיבה )לפרק ראשון ושני( א נ ג ל י ת (MODULE F) ספרות )מילון הראפס אנגלי-אנגלי-ערבי( בגרות לבתי ספר על יסודיים סוג הבחינה: מדינת ישראל קיץ תשע"א, 2011 מועד הבחינה: משרד החינוך 016117 מספר השאלון: Thinking Skills נספח: כישורי חשיבה )לפרק ראשון ושני( א נ ג ל י ת א. משך הבחינה: שעה וחצי שאלון

More information

מבוא לתכנות ב- JAVA תרגול 7

מבוא לתכנות ב- JAVA תרגול 7 מבוא לתכנות ב- JAVA תרגול 7 שאלה )מועד א 2013( לפניך מספר הגדרות: תת מילה של המילה word הינה רצף של אותיות עוקבות של word פלינדרום באורך le היא מילה בעלת le אותיות שניתן לקרוא אותה משמאל לימין וגם מימין

More information

תכנית סטארט עמותת יכולות, בשיתוף משרד החינוך א נ ג ל י ת שאלון א' Corresponds with Module A (Without Access to Information from Spoken Texts) גרסה א'

תכנית סטארט עמותת יכולות, בשיתוף משרד החינוך א נ ג ל י ת שאלון א' Corresponds with Module A (Without Access to Information from Spoken Texts) גרסה א' תכנית סטארט עמותת יכולות, בשיתוף משרד החינוך מקום להדבקת מדבקת נבחן א נ ג ל י ת סוג בחינה: מועד הבחינה: מספר השאלון: מבחן מטה לבתי ספר תיכוניים חורף תשע"ד 29.01.2014 מותאם לשאלון א' של בחינת הבגרות שסמלו

More information

פקס בחיבור המכשיר המשולב לפקס יש לעבור על השלבים הבאים: - חבר את כבל הטלפון לחיבור ה- LINE בגב המדפסת ואת צידו השני לשקע הטלפון בקיר.

פקס בחיבור המכשיר המשולב לפקס יש לעבור על השלבים הבאים: - חבר את כבל הטלפון לחיבור ה- LINE בגב המדפסת ואת צידו השני לשקע הטלפון בקיר. פקס בחיבור המכשיר המשולב לפקס יש לעבור על השלבים הבאים: חבר את כבל הטלפון לחיבור ה LINE בגב המדפסת ואת צידו השני לשקע הטלפון בקיר שקע LINE שקע טלפון בקיר במידה ויש בנקודה הנוכחית טלפון נוסף, יש באפשרותך

More information

נספח: כישורי חשיבה )לפרק ראשון ושני( אנגלית (MODULE D) ספרות או מילון אנגלי-עברי-עברי-אנגלי

נספח: כישורי חשיבה )לפרק ראשון ושני( אנגלית (MODULE D) ספרות או מילון אנגלי-עברי-עברי-אנגלי בגרות לבתי ספר על יסודיים סוג הבחינה: מדינת ישראל קיץ תשע"ג, 2013 מועד הבחינה: משרד החינוך מספר השאלון: 016115 Thinking Skills נספח: כישורי חשיבה )לפרק ראשון ושני( אנגלית שאלון ד' (MODULE D) א. משך הבחינה:

More information

אנגלית ספרות בהצלחה! /המשך מעבר לדף/ נספח: כישורי חשיבה )לפרק ראשון ושני( או: מילון אנגלי-ערבי / ערבי-אנגלי או: מילון אנגלי-אנגלי-ערבי

אנגלית ספרות בהצלחה! /המשך מעבר לדף/ נספח: כישורי חשיבה )לפרק ראשון ושני( או: מילון אנגלי-ערבי / ערבי-אנגלי או: מילון אנגלי-אנגלי-ערבי בגרות לבתי ספר על יסודיים א. סוג הבחינה: מדינת ישראל בגרות לנבחני משנה ב. משרד החינוך בגרות לנבחנים אקסטרניים ג. קיץ תשע"ד, מועד ב, 2014 מועד הבחינה: מספר השאלון: 414 016115, Thinking Skills נספח: כישורי

More information

ב. משרד החינוך בגרות לנבחנים אקסטרניים א נ ג ל י ת (MODULE B) הוראות מיוחדות: )2( בתום הבחינה החזר את השאלון למשגיח. בהצלחה!

ב. משרד החינוך בגרות לנבחנים אקסטרניים א נ ג ל י ת (MODULE B) הוראות מיוחדות: )2( בתום הבחינה החזר את השאלון למשגיח. בהצלחה! בגרות לבתי ספר על יסודיים א. סוג הבחינה: מדינת ישראל בגרות לנבחני משנה ב. משרד החינוך בגרות לנבחנים אקסטרניים ג. תשס"ז, מועד ב מועד הבחינה: מספר השאלון: 402 016103, א. משך הבחינה: שעה ורבע א נ ג ל י ת

More information

זו מערכת ישרת זוית )קרטזית( אשר בה יש לנו 2 צירים מאונכים זה לזה. באותו מישור ניתן להגדיר נקודה על ידי זוית ורדיוס וקטור

זו מערכת ישרת זוית )קרטזית( אשר בה יש לנו 2 צירים מאונכים זה לזה. באותו מישור ניתן להגדיר נקודה על ידי זוית ורדיוס וקטור קארדינטת קטבית y p p p במישר,y הגדרנ נקדה על ידי המרחקים מהצירים. ז מערכת ישרת זית )קרטזית( אשר בה יש לנ צירים מאנכים זה לזה. באת מישר ניתן להגדיר נקדה על ידי זית רדיס קטר. (, ) הרדיס קטר מסתבב )נגד כין

More information

שאלון ו' הוראות לנבחן

שאלון ו' הוראות לנבחן סוג הבחינה: א. בגרות לבתי ספר על- יסודיים ב. בגרות לנבחני משנה ג. בגרות לנבחנים אקסטרניים מועד הבחינה: תשס"ו, מועד ב מספר השאלון: 406 016107, י ת ל ג נ א שאלון ו' )MODULE F( הוראות לנבחן א. משך הבחינה:

More information

איתור במחסן הוא יחידת המרחב הקטנה ביותר שניתן להתייחס אליה, במחסן שבו מאוחסנות הסחורות שלך. מחסנים שורה 1 שורה 2 שורה 3

איתור במחסן הוא יחידת המרחב הקטנה ביותר שניתן להתייחס אליה, במחסן שבו מאוחסנות הסחורות שלך. מחסנים שורה 1 שורה 2 שורה 3 ם במחסנים ( תחת מודל מלאי( במחסן הוא יחידת המרחב הקטנה ביותר שניתן להתייחס אליה, במחסן שבו מאוחסנות הסחורות שלך. התרשים הבא מראה דוגמה למחסן ולים במחסן. מחסנים 3 2 מחסן 1 מחסן מחסן איזור 1 איזור 2 איזור

More information

מדריך שימוש והתקנה של office

מדריך שימוש והתקנה של office מדריך שימוש והתקנה של office הכרת השירות לסטודנט -מדריך ההתקנה למחשבי mac -מדריך שימוש ב- OneDrive כניסה לשירות office בחינם: על מנת להיכנס ולהתקין את השירות החדש המוצע על ידי המסלול יש להתחבר לאתר המכללה

More information

הדרכה על ביצוע סימולציה וסינתזה עם Design Kit TOWER TSL 018

הדרכה על ביצוע סימולציה וסינתזה עם Design Kit TOWER TSL 018 הטכניון מ.ט.ל. הפקולטה להנדסת חשמל המעבדה ל VLSI חוברת הדרכה על כלי SYNOPSYS הדרכה על ביצוע סימולציה וסינתזה עם Design Kit TOWER TSL 018 גואל סמואל, אמנון סטניסלבסקי התחברות ממחשבי LinuxPC זאת הדרך המומלצת

More information

נספח: כישורי חשיבה )לפרק ראשון ושני( אנגלית (MODULE D) ספרות מילון אנגלי-אנגלי-עברי או מילון אנגלי-עברי-עברי-אנגלי

נספח: כישורי חשיבה )לפרק ראשון ושני( אנגלית (MODULE D) ספרות מילון אנגלי-אנגלי-עברי או מילון אנגלי-עברי-עברי-אנגלי בגרות לבתי ספר על יסודיים סוג הבחינה: מדינת ישראל קיץ תשע"ב, מועד ב מועד הבחינה: משרד החינוך מספר השאלון: 016115 Thinking Skills נספח: כישורי חשיבה )לפרק ראשון ושני( אנגלית שאלון ד' (MODULE D) א. משך הבחינה:

More information

נספח: כישורי חשיבה )לפרק ראשון ושני( אנגלית (MODULE F) ספרות מילון אנגלי-אנגלי-עברי או מילון אנגלי-עברי-עברי-אנגלי

נספח: כישורי חשיבה )לפרק ראשון ושני( אנגלית (MODULE F) ספרות מילון אנגלי-אנגלי-עברי או מילון אנגלי-עברי-עברי-אנגלי בגרות לבתי ספר על יסודיים סוג הבחינה: מדינת ישראל קיץ תשע"ב, מועד ב מועד הבחינה: משרד החינוך מספר השאלון: 016117 Thinking Skills נספח: כישורי חשיבה )לפרק ראשון ושני( א. משך הבחינה: שעה וחצי אנגלית שאלון

More information

שאלון ד' הוראות לנבחן

שאלון ד' הוראות לנבחן סוג הבחינה: א. בגרות לבתי ספר על- יסודיים ב. בגרות לנבחני משנה ג. בגרות לנבחנים אקסטרניים מועד הבחינה: תשס"ה, מועד ב מספר השאלון: 404 016105, י ת ל ג נ א שאלון ד' )MODULE D( הוראות לנבחן א. משך הבחינה:

More information

עץ תורשה מוגדר כך:שורש או שורש ושני בנים שכל אחד מהם עץ תורשה,כך שערך השורש גדול או שווה לסכום הנכדים(נכד-הוא רק בן של בן) נתון העץ הבא:

עץ תורשה מוגדר כך:שורש או שורש ושני בנים שכל אחד מהם עץ תורשה,כך שערך השורש גדול או שווה לסכום הנכדים(נכד-הוא רק בן של בן) נתון העץ הבא: שאלה 1 עץ תורשה מוגדר כך:שורש או שורש ושני בנים שכל אחד מהם עץ תורשה,כך שערך השורש גדול או שווה לסכום הנכדים(נכד-הוא רק בן של בן) נתון העץ הבא: 99 80 50 15 40 34 30 22 10 13 20 13 9 8 א. ב. ג. האם העץ

More information

מדריך למשתמש בשירות. yes MultiRoom

מדריך למשתמש בשירות. yes MultiRoom מדריך למשתמש בשירות yes MultiRoom תוכן עניינים מבוא 3 תנאיסףלהצטרפותלשירות 3 ה ג ד ר ו ת 3 השימושבשירות 4 הגבלות 7 שאלותנפוצות 8 מבוא שירות yes MultiRoom מאפשר צפייה בתכנים המוקלטים שלכם ובתכני ה - VOD

More information

A R E Y O U R E A L L Y A W A K E?

A R E Y O U R E A L L Y A W A K E? A R E Y O U R E A L L Y A W A K E? ב ר ו ך א ת ה י י א לה ינ ו מ ל ך ה עו ל ם, ה מ ע ב יר ש נ ה מ ע ינ י ות נ ומ ה מ ע פ ע פ י Blessed are You, Hashem our God, King of the Universe, who removes sleep from

More information

א נ ג ל י ת בהצלחה! ב. משרד החינוך בגרות לנבחנים אקסטרניים )מילון הראפס אנגלי-אנגלי-ערבי( השימוש במילון אחר טעון אישור הפיקוח על הוראת האנגלית.

א נ ג ל י ת בהצלחה! ב. משרד החינוך בגרות לנבחנים אקסטרניים )מילון הראפס אנגלי-אנגלי-ערבי( השימוש במילון אחר טעון אישור הפיקוח על הוראת האנגלית. בגרות לבתי ספר על יסודיים א. סוג הבחינה: מדינת ישראל בגרות לנבחני משנה ב. משרד החינוך בגרות לנבחנים אקסטרניים ג. א. משך הבחינה: שעה ורבע מועד הבחינה: חורף תשס"ז, 2007 מספר השאלון: 406 016107, א נ ג ל י

More information

1.1. הקדמה (דיסק). מדריכי. (מחיצות) Link

1.1. הקדמה (דיסק). מדריכי. (מחיצות) Link חוג למדעי מחשב מבנה מערכות הפעלה תרגול 7 תרגול מס' 7 נושא התרגיל: מערכת קבצי. בUNIX3 בתרגיל זה נלמד: מבנה מערכת הקבצי. על הדיסק מבנה הInode3 סוגי הקבצי. בUNIX3 ניהול הדיסק 1. מערכת הקבצי! 1.1. הקדמה לכל

More information

תכנית סטארט עמותת יכולות, בשיתוף משרד החינוך א נ ג ל י ת שאלון ב' Corresponds with Module B גרסה ב' הוראות לנבחן

תכנית סטארט עמותת יכולות, בשיתוף משרד החינוך א נ ג ל י ת שאלון ב' Corresponds with Module B גרסה ב' הוראות לנבחן תכנית סטארט עמותת יכולות, בשיתוף משרד החינוך מקום להדבקת מדבקת נבחן סוג בחינה: מועד הבחינה: מספר השאלון: מבחן מטה לבתי ספר תיכוניים חורף תשע"ד 29.01.2014 מותאם לשאלון ב' של בחינת הבגרות שסמלו 016103 א

More information

ãówh,é ËÓÉÔê ÌW W É Å t" Y w f É ËÓÉÑ É èw É f Ñ u ð NNM YóQ' ÌW W É Y ÉgO d óqk É w f ym Éd É u ð NNM ÌWNQMH uqo ð NNM ÌWNQMH

ãówh,é ËÓÉÔê ÌW W É Å t Y w f É ËÓÉÑ É èw É f Ñ u ð NNM YóQ' ÌW W É Y ÉgO d óqk É w f ym Éd É u ð NNM ÌWNQMH uqo ð NNM ÌWNQMH * .1.2.3 (X).1.2.3.4.5.6 בגרות לבתי ספר על יסודיים סוג הבחינה: מדינת ישראל חורף תשע"ג, 2013 מועד הבחינה: משרד החינוך מספר השאלון: 016117 Thinking Skills נספח: כישורי חשיבה )לפרק ראשון ושני( אנגלית שאלון

More information

(MODULE E) ב ה צ ל ח ה!

(MODULE E) ב ה צ ל ח ה! סוג הבחינה: א. בגרות לבתי ספר על- יסודיים ב. בגרות לנבחני משנה מועד הבחינה: קיץ תשס"ד, 2004 מספר השאלון: 016106 י ת ל ג נ א שאלון ה' (MODULE E) הוראות לנבחן א. משך הבחינה: שעה ורבע בשאלון זה שני פרקים.

More information

מבוא לתכנות - פיתוח משחקים ב Action Script 3.0

מבוא לתכנות - פיתוח משחקים ב Action Script 3.0 מבוא לתכנות - פיתוח משחקים ב Action Script 3.0 כל מה שמעצב משחקים צריך לדעת בשביל לעבוד עם מתכנתים משחק טקסט שעור 3 1P 0 AS3 2P 0 HIGH SCORE RANK SCORE NAME CREDI 15 1S 00079000 G. 2ND 00079000 S.G 3RD

More information

בהגדרות ה AP..connections

בהגדרות ה AP..connections מדריך התקנת Access Point מדגם EW-7206APG תוכן עניינים - חלק 1 בירור כתובת ה IP של המחשב לצורך הכנסתם בהגדרות ה AP - חלק 2 הכירות כללית עם המכשיר וחיבורו - חלק 3 הכנת המחשב להגדרת המכשיר לרשת - חלק 4 הגדרת

More information

ב. משרד החינוך בגרות לנבחנים אקסטרניים א נ ג ל י ת (MODULE B) הוראות מיוחדות: )2( בתום הבחינה החזר את השאלון למשגיח. בהצלחה!

ב. משרד החינוך בגרות לנבחנים אקסטרניים א נ ג ל י ת (MODULE B) הוראות מיוחדות: )2( בתום הבחינה החזר את השאלון למשגיח. בהצלחה! בגרות לבתי ספר על יסודיים א. סוג הבחינה: מדינת ישראל בגרות לנבחני משנה ב. משרד החינוך בגרות לנבחנים אקסטרניים ג. חורף תשס"ח, 2008 מועד הבחינה: מספר השאלון: 402 016103, א. משך הבחינה: שעה ורבע א נ ג ל י

More information

Computer Structure. Exercise #1 יש להגיש את התשובות הסופיות על גבי טופס זה.

Computer Structure. Exercise #1 יש להגיש את התשובות הסופיות על גבי טופס זה. שם: ת.ז: ציון: Computer Structure Exercise #1 יש להגיש את התשובות הסופיות על גבי טופס זה. שאלה 1 appleממש מערכת אשר קולטת בכל מחזור שעון ביט קלט בודדX. כדי להגדיר את הפלט של המערכת במחזור השעון הappleוכחי

More information

נספח: כישורי חשיבה )לפרק ראשון ושני( אנגלית (MODULE D) ספרות או מילון אנגלי-עברי-עברי-אנגלי

נספח: כישורי חשיבה )לפרק ראשון ושני( אנגלית (MODULE D) ספרות או מילון אנגלי-עברי-עברי-אנגלי בגרות לבתי ספר על יסודיים סוג הבחינה: מדינת ישראל קיץ תשע"ב, 2012 מועד הבחינה: משרד החינוך מספר השאלון: 016115 Thinking Skills נספח: כישורי חשיבה )לפרק ראשון ושני( אנגלית שאלון ד' (MODULE D) א. משך הבחינה:

More information

שאלון ו' הוראות לנבחן

שאלון ו' הוראות לנבחן סוג הבחינה: א. בגרות לבתי ספר על- יסודיים ב. בגרות לנבחני משנה ג. בגרות לנבחנים אקסטרניים מועד הבחינה: תשס"ה, מועד ב מספר השאלון: 406 016107, י ת ל ג נ א שאלון ו' )MODULE F( הוראות לנבחן א. משך הבחינה:

More information

FILED: NEW YORK COUNTY CLERK 07/16/2014 INDEX NO /2014 NYSCEF DOC. NO. 102 RECEIVED NYSCEF: 07/16/2014 EXHIBIT 5

FILED: NEW YORK COUNTY CLERK 07/16/2014 INDEX NO /2014 NYSCEF DOC. NO. 102 RECEIVED NYSCEF: 07/16/2014 EXHIBIT 5 FILED: NEW YORK COUNTY CLERK 07/16/2014 INDEX NO. 652082/2014 NYSCEF DOC. NO. 102 RECEIVED NYSCEF: 07/16/2014 EXHIBIT 5 McLaughlin, Terence K. From: Sent: To: Cc: Subject: Follow Up Flag: Flag Status:

More information

.Open-Drain Buffer ו Buffer סינכרונית.

.Open-Drain Buffer ו Buffer סינכרונית. 1. הכרת מושגים שפות תיאור חמרה לעומת שרטוט, הכרת Design Flow בשפת.VHDL סימולציה, רמת הסימולציה (רמה גבוהה, רמת,RTL רמת,(gate level סוגי ומטרות הסימולציה Timing) Verification, Functional Simulation ו,(Fault

More information

בהצלחה! (MODULE C) Hoffman, Y. (2014). The Universal English-Hebrew, Hebrew-English Dictionary

בהצלחה! (MODULE C) Hoffman, Y. (2014). The Universal English-Hebrew, Hebrew-English Dictionary בגרות סוג הבחינה: מדינת ישראל קיץ תשע"ז, 2017, מועד ב מועד הבחינה: משרד החינוך 403 016104, מספר השאלון: אנגלית שאלון ג' (MODULE C) ג רסה א' הוראות לנבחן א. משך הבחינה: שעה וחצי ב. מבנה השאלון ומפתח ההערכה:

More information

אנגלית שאלון ז' (MODULE G) ג רסה א' הוראות לנבחן )מילון אנגלי-ערבי / ערבי-אנגלי )

אנגלית שאלון ז' (MODULE G) ג רסה א' הוראות לנבחן )מילון אנגלי-ערבי / ערבי-אנגלי ) בגרות לבתי ספר על יסודיים א. סוג הבחינה: מדינת ישראל בגרות לנבחני משנה ב. משרד החינוך בגרות לנבחנים אקסטרניים ג. חורף תשע"ג, 2013 מועד הבחינה: 407 016108, מספר השאלון: הצעת תשובות לשאלות בחינת הבגרות אנגלית

More information

מדריך למשתמש התקנה עצמית

מדריך למשתמש התקנה עצמית 225 מדריך למשתמש התקנה עצמית תכולת הערכה 1 1 מחבר חשמלי 1 כבל DSL 1 כבל רשת * הטקסט בחוברת מנוסח בלשון זכר, אך פונה לשני המינים. שלב א' חיבור לחשמל וחיווי נוריות 2 חיבור לחשמל חבר את כבל החשמל אל שקע ה-

More information

הגדרות טלפון פוליקום בקישור הבא יש טבלה מסודרת שבה מופיעים כל סוגי הטלפון ולאיזה גירסא ניתן לשדרג

הגדרות טלפון פוליקום בקישור הבא יש טבלה מסודרת שבה מופיעים כל סוגי הטלפון ולאיזה גירסא ניתן לשדרג הגדרות טלפון פוליקום שידרוג הטלפון: על מנת לשדרג את הטלפון יש צורך להוריד מהאתר של פוליקום 2 קבצים: SIP.BOOTROM )1 )2 ניתן להוריד את 2 הקבצים בהתאם לדגם המכשיר דרך אתר פוליקום http://www.polycom.eu/support/voice/index.html

More information

נתב / TP LINK TL WR541G / TL WR641G

נתב / TP LINK TL WR541G / TL WR641G ROUTER נתב / TP LINK TL WR541G / TL WR641G מדריך התקנה הוראות התקנה לנתב )ROUTER( אלחוטי TP-LINK תוכן העניינים פרק - 1 חיבור הנתב......3 פרק - 2 הגדרת הנתב... 4 2.1 כניסה לממשק הנתב... 4 2.2 הגדרת הנתב

More information

שאלות חזרה לקראת מבחן מפמ"ר אינטרנט וסייבר

שאלות חזרה לקראת מבחן מפמר אינטרנט וסייבר שאלות חזרה לקראת מבחן מפמ"ר אינטרנט וסייבר שאלה.1 ייצוג מידע בטבלה שלפניכם מספרים בבסיס. כל מספר מיוצג ע"י 5 סיביות. 10011 = 01100 = 00111 = 11000 = 11010 = 00101 = 10000 = 01111 = ד. יש להשלים את הערך

More information

מדריך למשתמש התקנה עצמית

מדריך למשתמש התקנה עצמית 256 מדריך למשתמש התקנה עצמית תכולת הערכה 1 1 מחבר חשמלי 1 כבל,DSL עבור חיבור לשקע DSL 1 כבל רשת שקע עבור חיבור עבור למחשב חיבור למחש 1 נתב 2 שלב א' חיבור לחשמל וחיווי נוריות חיבור לחשמל חבר את כבל החשמל

More information

מדור מערכות מידע פיננסיות

מדור מערכות מידע פיננסיות 13/08/2017 נוהל הגדרת Internet Explorer 11 לעבודה עם תפנית דרישות סף: מערכת הפעלה. Windows 7 - הדפדפן Internet Explorer 11 מוגדר כברירת מחדל. - הגדרות מתאימות בדפדפן Internet Explorer כפי שכתוב בהמשך נוהל

More information

מספר השאלון: הצעת תשובות לשאלות בחינת הבגרות אנגלית (MODULE C) מילון אנגלי-אנגלי-עברי או מילון אנגלי-עברי-עברי-אנגלי قاموس إنجليزي - إنجليزي - عربي

מספר השאלון: הצעת תשובות לשאלות בחינת הבגרות אנגלית (MODULE C) מילון אנגלי-אנגלי-עברי או מילון אנגלי-עברי-עברי-אנגלי قاموس إنجليزي - إنجليزي - عربي בגרות לבתי ספר על יסודיים א. סוג הבחינה: מדינת ישראל בגרות לנבחני משנה ב. משרד החינוך בגרות לנבחנים אקסטרניים ג. חורף תשע"ג, 2013 מועד הבחינה: 403 016104, מספר השאלון: הצעת תשובות לשאלות בחינת הבגרות אנגלית

More information

מבוא לאסמבלי מאת אופיר בק חלקים נרחבים ממאמר זה נכתבו בהשראת הספר "ארגון המחשב ושפת סף" אשר נכתב ע"י ברק גונן לתוכנית גבהים של משרד החינוך.

מבוא לאסמבלי מאת אופיר בק חלקים נרחבים ממאמר זה נכתבו בהשראת הספר ארגון המחשב ושפת סף אשר נכתב עי ברק גונן לתוכנית גבהים של משרד החינוך. מאת אופיר בק חלקים נרחבים ממאמר זה נכתבו בהשראת הספר "ארגון המחשב ושפת סף" אשר נכתב ע"י ברק גונן לתוכנית גבהים של משרד החינוך. הקדמה בסדרת המאמרים הקרובה, אנחנו הולכים ללמוד על השפה אסמבלי, על השימוש בה

More information

מבו א ל- VHDL אז מה י ה י ה לנ ו ה י ו ם... מהי שפת הגדרת חומרה ולשם מה דרושה תיאור, סימולציה, סינתזה

מבו א ל- VHDL אז מה י ה י ה לנ ו ה י ו ם... מהי שפת הגדרת חומרה ולשם מה דרושה תיאור, סימולציה, סינתזה מבו א ל- VHDL מרצה: יפתח גילעדי, פלאפון: 057-8116766 מיל: g_iftah@yahoo.com בואו נעבור רגע על הסילבוס אז מה י ה י ה לנ ו ה י ו ם.......... מהי שפת הגדרת חומרה ולשם מה דרושה תיאור, סימולציה, סינתזה מאפיני

More information

Patents Basics. Yehuda Binder. (For copies contact:

Patents Basics. Yehuda Binder. (For copies contact: Patents Basics Yehuda Binder (For copies contact: elissa@openu.ac.il) 1 Intellectual Property Value 2 Intellectual Property Rights Trademarks Copyrights Trade Secrets Patents 3 Trademarks Identify a source

More information

מדריך שימוש בדואר האלקטרוני

מדריך שימוש בדואר האלקטרוני מדריך שימוש בדואר האלקטרוני Live@Edu UserName@Campus.haifa.ac.il תוכן עניינים א. מבוא... 2 מהי מערכת? Live@edu... 2 קבלת פרטי כתובת הדואר האלקטרוני:... 2 ב. כניסה ראשונית לחשבון הדואר האלקטרוני... 2 השלמת

More information

ל"תוכנה" שכותבים, כמו פונקציה זו, קוראים "קוד"

לתוכנה שכותבים, כמו פונקציה זו, קוראים קוד הגדרת פונקציות מבוא לתכנות מדעי וסטטיסטי R פונקציות, ו חלק 4 בנוסף לפונקציות שמגיעות מוכנות יחד עם המערכת exp) mean,,c וכו'), אפשר לכתוב פונקציות חדשות פונקציות נקראות לעתים "פרוצדורות" או "סאב-רוטינות"

More information

sharing food intro price & extra drinks * ניתן להזמין מנות כשרות בתאום מראש for good memories

sharing food intro price & extra drinks * ניתן להזמין מנות כשרות בתאום מראש for good memories sharing אירוע SPECIAL באוסקר ווילד הוא שילוב מדהים בין אוכל לשתיה FOOD & DRINKS תפריט מגוון מבחר משקאות מכל העולם ואווירת CASUAL שמאפיינת את האופי האותנטי של המקום מרכז שולחן פלטת ירקות אדממה נאצ וס כבד

More information

נילי חמני

נילי חמני מבנה שריר שלד (מקרוסקופי) עטוף ברקמת חיבור (אפימזיום) מחולקלצרורותתאישרירשכלאחדמהםעטוף ברקמתחיבורנוספת (פרימזיום) (תא) שרירעטוףברקמתחיבורמשלו כלסיב (אנדומזיום) לרקמות החיבור בשריר תפקיד חשוב ביצירת המבנה

More information

A Long Line for a Shorter Wait at the Supermarket

A Long Line for a Shorter Wait at the Supermarket A Long Line for a Shorter Wait at the Supermarket - New York Times Page 1 of 4 A Long Line for a Shorter Wait at the Supermarket Sam Baris directing customers at Whole Foods in Columbus Circle, where the

More information

מבוא לשפת C תירגול 1: מבוא מבוא לשפת סי - תירגול 1

מבוא לשפת C תירגול 1: מבוא מבוא לשפת סי - תירגול 1 מבוא לשפת C תירגול 1: מבוא 1 אתר הקורס webcourse.cs.technion.ac.il/234112 חדשות הקורס תרגילי בית הרצאות ותירגולים חומר עזר, מבחנים קודמים, שאלות נפוצות, ועוד... 2 אתר הקורס 3 רשימת תפוצה חובה להירשם! הודעות

More information

תרגול 8. Hash Tables

תרגול 8. Hash Tables תרגול Hash Tables ds-ps חידה מהשיעור הקודם בכל השקים המטבעות שוקלים ורק בשק אחד המטבעות שוקלים.. מותר לנו לבצע שקילה אחת בלבד! איך נדע מה השק הקל יותר? שקים עם מטבעות ds-ps מה היה לנו דיברנו על מבני נתונים

More information

/ פתיחת החשבון הכרחית רק למרצה / מתרגל ונעשית רק בעת הכניסה הראשונה.

/  פתיחת החשבון הכרחית רק למרצה / מתרגל ונעשית רק בעת הכניסה הראשונה. כניסת מרצה כניסת סטודנטים /https://b.socrative.com/login/student /https://b.socrative.com/login/teacher שלב הרישום פתיחת החשבון הכרחית רק למרצה / מתרגל ונעשית רק בעת הכניסה הראשונה. https://b.socrative.com/login/teacher/#register-free/info

More information

מבוא לשפת C מבוא לשפת סי - תירגול 1

מבוא לשפת C מבוא לשפת סי - תירגול 1 מבוא לשפת C תירגול 1: מבוא 1 אתר הקורס webcourse.cs.technion.ac.il/234112 חדשות הקורס תרגילי בית הרצאות ותירגולים חומר עזר, מבחנים קודמים, שאלות נפוצות, ועוד... 2 אתר הקורס 3 רשימת תפוצה חובה להירשם! הודעות

More information

ANNEXURE "E1-1" FORM OF IRREVOCABLE STANDBY LETTER OF CREDIT PERFORMANCE OF CONTRACT (WHERE PRICES ARE NOT LINKED TO AN ESCALATION FORMULA)

ANNEXURE E1-1 FORM OF IRREVOCABLE STANDBY LETTER OF CREDIT PERFORMANCE OF CONTRACT (WHERE PRICES ARE NOT LINKED TO AN ESCALATION FORMULA) ANNEXURE "E1-1" FORM OF IRREVOCABLE STANDBY LETTER OF CREDIT PERFORMANCE OF CONTRACT (WHERE PRICES ARE NOT LINKED TO AN ESCALATION FORMULA) Dear Sirs, Re: Standby Letter of Credit No: Please advise the

More information

כניסה ליונת דואר פעולות בסיסיות בממשק הדואר, לוח השנה ואנשי הקשר

כניסה ליונת דואר פעולות בסיסיות בממשק הדואר, לוח השנה ואנשי הקשר יונת דואר גרסת פעולות בסיסיות בממשק הדואר, לוח השנה ואנשי הקשר Office365 יונת דואר בממשק Office365 הוא סביבת הענן המתקדמת ביותר בעולם. באמצעות Office365 תוכל לייעל את העבודה היום יומית שלך עם עמיתיך ומול

More information

קורס מטלב לפיסיקאים שיעור מס' 10: משתנים מורכבים

קורס מטלב לפיסיקאים שיעור מס' 10: משתנים מורכבים שיעור מס' : משתנים מורכבים מערכי תאים מערך תאים הוא מערך שבו כל איבר בעצמו הוא מערך מסוג ומימדים כלשהם. לדוגמא מערך עמודה: מס' איבר 2 3 4 5 תוכן סקלר נומרי סקלר לוגי מטריצה נומרית 2 3 6 מערך-תאים (שורה

More information

א. כללי... 3 ג. מסך ראשי מערכת ניהול ציי רכב בזמן אמת...6 קיצורי דרך...7

א. כללי... 3 ג. מסך ראשי מערכת ניהול ציי רכב בזמן אמת...6 קיצורי דרך...7 Copyright by Ituran Ltd. 2015 תוכן עניינים עניינים תוכן א. כללי... 3 ב. כניסה למערכת...3 ג. מסך ראשי מערכת ניהול ציי רכב בזמן אמת...6 קיצורי דרך...7 ד. שימוש בכלי המפה...8 מדידת מרחקים על גבי המפה...9

More information

State Pattern מימוש מכונת מצבים (FSM) מבוא בעיה תמיכה ועדכונים עדכון מס' 48 מאי 2002

State Pattern מימוש מכונת מצבים (FSM) מבוא בעיה תמיכה ועדכונים עדכון מס' 48 מאי 2002 1 מרכז ההדרכה 2000 תמיכה ועדכונים עדכון מס' 48 מאי 2002 מימוש מכונת מצבים (FSM) באמצעות State Pattern מבוא מכונת מצבים סופית Machine) (Final State היא מודל מקובל בניתוח מערכות באופן כללי, ומערכות חומרה

More information

הצעת תשובות לשאלות בחינת הבגרות אנגלית

הצעת תשובות לשאלות בחינת הבגרות אנגלית בגרות לבתי ספר על יסודיים סוג הבחינה: מדינת ישראל קיץ תשע"ג, 2013 מועד הבחינה: משרד החינוך מספר השאלון: 016117 Thinking Skills נספח: כישורי חשיבה )לפרק ראשון ושני( הצעת תשובות לשאלות בחינת הבגרות אנגלית

More information

שפת תיאור חומרה VHDL

שפת תיאור חומרה VHDL בס"ד משרד החינוך המינהל למדע ולטכנולוגיה הפיקוח על מגמת הנדסת אלקטרוניקה ומחשבים ומגמת מערכות בקרה ואנרגיה מגמת הנדסת אלקטרוניקה ומחשבים תכנית לימודים למקצוע שפת תיאור חומרה VHDL סמל מקצוע 11.9014 כיתה

More information

Hebrew Ulpan HEB Young Judaea Year Course in Israel American Jewish University College Initiative

Hebrew Ulpan HEB Young Judaea Year Course in Israel American Jewish University College Initiative Hebrew Ulpan HEB 011-031 Young Judaea Year Course in Israel American Jewish University College Initiative Course Description Hebrew is not only the Sacred Language of the Jewish people, but it is also

More information

אלקטרוניקה מנדס 71 ר"ג פקס:

אלקטרוניקה מנדס 71 רג פקס: אי//י אייאיי/די מנדס 71 ר"ג 035343385 פקס: 03-5343380 טל::ל E-mail: sales@eid.co.il 1 אי.איי.די מציגה סידרת טיימרים המספקת פתרונות רבים ומגוונים, מתוך מומחיות רבת שנים בתחום. 2 סידרה M T O P זיווד רוחב

More information

THINKING ABOUT REST THE ORIGIN OF SHABBOS

THINKING ABOUT REST THE ORIGIN OF SHABBOS Exploring SHABBOS SHABBOS REST AND RETURN Shabbos has a multitude of components which provide meaning and purpose to our lives. We will try to figure out the goal of Shabbos, how to connect to it, and

More information

מבוא למחשב בשפת פייתון

מבוא למחשב בשפת פייתון 234221 מבוא למחשב בשפת פייתון 3 מבני בקרה ולולאות פרופ' ראובן בר-יהודה דין לייטרסדורף הפקולטה למדעי המחשב הטכניון מכון טכנולוגי לישראל נערך ע"י יעל ארז 1 פקודות והזחות 2 פקודה פשוטה >>> 3+2 5 >>> x = 5

More information

Theories of Justice

Theories of Justice Syllabus Theories of Justice - 56981 Last update 06-08-2014 HU Credits: 2 Degree/Cycle: 1st degree (Bachelor) Responsible Department: political Science Academic year: 2 Semester: 2nd Semester Teaching

More information

דיאגרמה לתיאור Classes

דיאגרמה לתיאור Classes 1 דיאגרמה לתיאור Classes הקדמה לדיאגרמת Classes כללים במתן שמות ל- Classes שאנו מתארים הרשאות גישה של מרכיבי ה- Class השונים משתנים (Attributes) שמוגדרים ב- Class משתנים סטטיים Attributes) (Static מתודות

More information

זה אומר שאחרי הכרות עם השפה מה שדורש השקעה אפשר להקדיש את מרבית הזמן לצד המוזיקלי יצירתי ולא התכנותיÆ איזה כיף

זה אומר שאחרי הכרות עם השפה מה שדורש השקעה אפשר להקדיש את מרבית הזמן לצד המוזיקלי יצירתי ולא התכנותיÆ איזה כיף מדריך FAUST שפת תכנות ליישומי אודיו מחולל גל ריבועי פרויקט מס ± FAUST שפת תכנות המיועדת ליישומי עיבוד אות דיגיטלי במחשב בזמן אמת ומאפשרת להמיר אותם אח כ לפלאגינים בפורמט ÆVst ייחודה של השפה בכך שהיא מאפשרת

More information

הבינגמה הדובע תביבסב תונכתל ריהמ ךירדמ

הבינגמה הדובע תביבסב תונכתל ריהמ ךירדמ 1 מדריך מהיר לתכנות בסביבת עבודה המגניבה Borland C++ builder מדריך זה נועד לאנשים שכבר יודעים לתכנת ב ++C אין כאן שום כוונה ללמד את השפה או להכין מטעמים מחרקים לשם כך יש אלפי מדריכים אחרים ברשת. המדריך

More information

תוכן עניינים PC2TV 3.5 הזרמת מדיה מ IPAD/IPHONE 4. אודות... 28

תוכן עניינים PC2TV 3.5 הזרמת מדיה מ IPAD/IPHONE 4. אודות... 28 תוכן עניינים PC2TV.1 היכרות עם המוצר..... 2. 1.1 תכונות 3... 1.2 דרישות חומרה... 3 1.3 פאנל אחורי... 4 1.4 תרחישים... 5... 2. התקנת התוכנה... 6-7 2.1 התקני חיבור.. PC2TV 8 2.2 חיבור PC2TV לרשת: ההתקנה...

More information

ASTM UL / FM / BS abesco

ASTM UL / FM / BS abesco 7 ASTM UL / FM / BS 93 - 4 5 6 7 8 9 0 3 4 5 6-8 9 - CP - 30 0 MORTAR FR INSERTS OR PUTTY PADS FOR ELECTRICAL BOXES * ** 0 mineral wool + Acrilic sealant FIRECLAMP A FIRECLAMP A or CP - 30 Acrilic sealant

More information

מנדליי הינה תוכנה חינמית המיועדת לעזור בניהול, שיתוף, קריאה, הוספת הערות וציטוט של מאמרים. בנוסף מתפקדת גם כרשת חברתית של אנשי אקדמיה ומחקר.

מנדליי הינה תוכנה חינמית המיועדת לעזור בניהול, שיתוף, קריאה, הוספת הערות וציטוט של מאמרים. בנוסף מתפקדת גם כרשת חברתית של אנשי אקדמיה ומחקר. מנדליי הינה תוכנה חינמית המיועדת לעזור בניהול, שיתוף, קריאה, הוספת הערות וציטוט של מאמרים. בנוסף מתפקדת גם כרשת חברתית של אנשי אקדמיה ומחקר. רשת זו מטרתה שיתוף, גילוי טרנדים וסטטיסטיקות וחיבור לחוקרים

More information

FILED: NEW YORK COUNTY CLERK 07/16/2014 INDEX NO /2014 NYSCEF DOC. NO. 134 RECEIVED NYSCEF: 07/16/2014 EXHIBIT 37

FILED: NEW YORK COUNTY CLERK 07/16/2014 INDEX NO /2014 NYSCEF DOC. NO. 134 RECEIVED NYSCEF: 07/16/2014 EXHIBIT 37 FILED: NEW YORK COUNTY CLERK 07/16/2014 INDEX NO. 652082/2014 NYSCEF DOC. NO. 134 RECEIVED NYSCEF: 07/16/2014 EXHIBIT 37 Translated from the Hebrew Sharf Translations Message sent From: Tomer Shohat

More information

מדריך מקוצר Microsoft Office Word 2007

מדריך מקוצר Microsoft Office Word 2007 מדריך מקוצר Microsoft Office Word 2007 Word 2007 מאפשר עבודה פשוטה, יעילה ומלווה בתצוגה עשירה של לחצנים זמינה בהתאם לצרכי המשתמש הכרת רצועת הכלים לקבלת רצועת הכלים החדשה נפרסת בחלק העליון של ה- Word עזרה

More information

Reflection Session: Sustainability and Me

Reflection Session: Sustainability and Me Goals: Participants will: identify needs in their home communities apply their sustainability learning to the conditions of their home communities design a sustainable project idea and evaluate the ideas

More information

תוכן העניינים: פרק סדרות סיכום תכונות הסדרה החשבונית:... 2 תשובות סופיות:...8 סיכום תכונות הסדרה ההנדסית:...10

תוכן העניינים: פרק סדרות סיכום תכונות הסדרה החשבונית:... 2 תשובות סופיות:...8 סיכום תכונות הסדרה ההנדסית:...10 תוכן העניינים: פרק סדרות סיכום תכונות הסדרה החשבונית: שאלות לפי נושאים: 3 שאלות העוסקות בנוסחת האיבר הכללי: 3 שאלות העוסקות בסכום סדרה חשבונית: 4 שאלות מסכמות: 5 תשובות סופיות: 8 סיכום תכונות הסדרה ההנדסית:

More information

המחלקה למדעי המחשב, אוניברסיטת בן גוריון מבני נתונים, סמסטר אביב 2102 עבודת בית מספר - 2 מעשית

המחלקה למדעי המחשב, אוניברסיטת בן גוריון מבני נתונים, סמסטר אביב 2102 עבודת בית מספר - 2 מעשית המחלקה למדעי המחשב, אוניברסיטת בן גוריון מבני נתונים, סמסטר אביב 2102 עבודת בית מספר - 2 מעשית נושאים: מערכים, רשימות מרצה ומתרגלים אחראים: איתן בכמט, איסנה וקסלר, רז ניסים תאריך פרסום: 11.21 תאריך הגשה:

More information

מבוא לתכנות - פיתוח משחקים ב Action Script 3.0

מבוא לתכנות - פיתוח משחקים ב Action Script 3.0 מבוא לתכנות - פיתוח משחקים ב Action Script 3.0 כל מה שמעצב משחקים צריך לדעת בשביל לעבוד עם מתכנתים משחק בול פגיעה שעור 2 1P 0 AS3 2P 0 HIGH SCORE RANK SCORE NAME CREDIT 15 1ST 00045000 I.M 2ND 00039500

More information

הבסיס כתיבת התכנית הראשונה שימוש במשתנים. הטיפוס הבסיסי object. הטיפוס הבסיסי string משפטי בקרה. שימוש ב- Enumerations. שימוש ב- Namespaces

הבסיס כתיבת התכנית הראשונה שימוש במשתנים. הטיפוס הבסיסי object. הטיפוס הבסיסי string משפטי בקרה. שימוש ב- Enumerations. שימוש ב- Namespaces 1 הבסיס כתיבת התכנית הראשונה שימוש במשתנים הטיפוס הבסיסי object הטיפוס הבסיסי string משפטי בקרה שימוש ב- Enumerations שימוש ב- Namespaces המתודה Main כתיבת הערות פקודות קדם מהדר 2 כתיבת התכנית הראשונה

More information

מיקוד באנגלית. Module D. New Program in English Literature. Option 1 שאלון אינטרני מספר שאלון אקסטרני מספר 414

מיקוד באנגלית. Module D. New Program in English Literature. Option 1 שאלון אינטרני מספר שאלון אקסטרני מספר 414 ענת אברבנאל צביה אופנברג מיקוד באנגלית Module D New Program in English Literature Option 1 שאלון אינטרני מספר 016115 שאלון אקסטרני מספר 414 לעדכונים והשלמות אתר המיקודים אתכם לאורך כל הדרך ענת אברבנאל

More information

A JEW WALKS INTO A BAR: JEWISH IDENTITY IN NOT SUCH JEWISH PLACES

A JEW WALKS INTO A BAR: JEWISH IDENTITY IN NOT SUCH JEWISH PLACES A JEW WALKS INTO A BAR: JEWISH IDENTITY IN NOT SUCH JEWISH PLACES Sinning in Disguise Like people of all faiths, Jews sometimes do things or go to places they are not supposed to. This session is not about

More information

מבחן באנגלית בהצלחה הצלחה!!! שם פרטי: שם משפחה: מס' תעודת זהות: תאריך: שם מרכז מנהל מרכז השכלה: תאריך בדיקת המבחן: כל הזכויות שמורות למשרד החינוך

מבחן באנגלית בהצלחה הצלחה!!! שם פרטי: שם משפחה: מס' תעודת זהות: תאריך: שם מרכז מנהל מרכז השכלה: תאריך בדיקת המבחן: כל הזכויות שמורות למשרד החינוך מדינת ישראל משרד החינוך מינהל חברה ונוער מבחן באנגלית שם פרטי: שם משפחה: מס' תעודת זהות: תאריך: מנהל מרכז השכלה: שם: שם מרכז ההשכלה /מוסד : ציון: תאריך בדיקת המבחן: כולה שהמערכת מוסרית, ומוסרית ערכית רואים

More information

DNS פרק 4 ג' ברק גונן מבוסס על ספר הלימוד "רשתות מחשבים" עומר רוזנבוים 1

DNS פרק 4 ג' ברק גונן מבוסס על ספר הלימוד רשתות מחשבים עומר רוזנבוים 1 DNS פרק 4 ג' שכבת האפליקציה, פרוטוקול ברק גונן מבוסס על ספר הלימוד "רשתות מחשבים" עומר רוזנבוים מאת 1 בסיום הפרק נדע: מה תפקיד פרוטוקול?DNS לשם מה צריך?DNS מהי ההיררכיה של כתובות דפי האינטרנט? מהו,TLD

More information

סיכומים למבחן בקורס מבנה מחשבים

סיכומים למבחן בקורס מבנה מחשבים ה) 1 חלק ראשון )פרופ' נתן אינטרטור( הקדמה:.1.2 סיכומים למבחן בקורס מבנה מחשבים )סמסטר א' 2008-9, פרופ' נתן אינטרטור, פרופ' יהודה אפק( כל מחשב מורכב מחמישה חלקים עיקריים:.input, utput, memry, prcessr: cntrl

More information