שפת תיאור חומרה VHDL

Similar documents
אנגלית (MODULE E) בהצלחה!

א נ ג ל י ת בהצלחה! ב. משרד החינוך בגרות לנבחנים אקסטרניים )מילון הראפס אנגלי-אנגלי-ערבי( השימוש במילון אחר טעון אישור הפיקוח על הוראת האנגלית.

אנגלית שאלון ז' ג רסה א' הוראות לנבחן בהצלחה! )4( ההנחיות בשאלון זה מנוסחות בלשון זכר ומכוונות לנבחנות ולנבחנים כאחד. (MODULE G)

.Open-Drain Buffer ו Buffer סינכרונית.

תכנית סטארט עמותת יכולות, בשיתוף משרד החינוך א נ ג ל י ת שאלון א' Corresponds with Module A (Without Access to Information from Spoken Texts) גרסה א'

שאלון ו' הוראות לנבחן

מבו א ל- VHDL אז מה י ה י ה לנ ו ה י ו ם... מהי שפת הגדרת חומרה ולשם מה דרושה תיאור, סימולציה, סינתזה

מספר השאלון: Thinking Skills נספח: כישורי חשיבה )לפרק ראשון ושני( א נ ג ל י ת (MODULE F) ספרות )מילון הראפס אנגלי-אנגלי-ערבי(

תכנית סטארט עמותת יכולות, בשיתוף משרד החינוך א נ ג ל י ת שאלון ב' Corresponds with Module B גרסה ב' הוראות לנבחן

שאלון ד' הוראות לנבחן

שאלון ו' הוראות לנבחן

בהצלחה! (MODULE C) Hoffman, Y. (2014). The Universal English-Hebrew, Hebrew-English Dictionary

טכנולוגיית WPF מספקת למפתחים מודל תכנות מאוחד לחוויית בניית יישומיי

נספח: כישורי חשיבה )לפרק ראשון ושני( אנגלית (MODULE F) ספרות או: מילון אנגלי-ערבי / ערבי-אנגלי או: מילון אנגלי-אנגלי-ערבי

אנגלית שאלון ז' (MODULE G) ג רסה א' הוראות לנבחן )מילון אנגלי-ערבי / ערבי-אנגלי )

ãówh,é ËÓÉÔê ÌW W É Å t" Y w f É ËÓÉÑ É èw É f Ñ u ð NNM YóQ' ÌW W É Y ÉgO d óqk É w f ym Éd É u ð NNM ÌWNQMH uqo ð NNM ÌWNQMH

(MODULE E) ב ה צ ל ח ה!

מכונת מצבים סופית תרגול מס' 4. Moshe Malka & Ben lee Volk

נספח: כישורי חשיבה )לפרק ראשון ושני( אנגלית (MODULE F) ספרות מילון אנגלי-אנגלי-עברי או מילון אנגלי-עברי-עברי-אנגלי

אנגלית ספרות בהצלחה! /המשך מעבר לדף/ נספח: כישורי חשיבה )לפרק ראשון ושני( או: מילון אנגלי-ערבי / ערבי-אנגלי או: מילון אנגלי-אנגלי-ערבי

סטטיסטיקה בתכנית "מוסמך" ש"ת, ש 3 "ס.

נספח: כישורי חשיבה )לפרק ראשון ושני( אנגלית (MODULE D) ספרות מילון אנגלי-אנגלי-עברי או מילון אנגלי-עברי-עברי-אנגלי

נספח: כישורי חשיבה )לפרק ראשון ושני( אנגלית (MODULE D) ספרות או מילון אנגלי-עברי-עברי-אנגלי

מבוא לתכנות - פיתוח משחקים ב Action Script 3.0

נספח: כישורי חשיבה )לפרק ראשון ושני( אנגלית (MODULE D) ספרות או מילון אנגלי-עברי-עברי-אנגלי

ב. משרד החינוך בגרות לנבחנים אקסטרניים א נ ג ל י ת (MODULE B) הוראות מיוחדות: )2( בתום הבחינה החזר את השאלון למשגיח. בהצלחה!

מספר השאלון: הצעת תשובות לשאלות בחינת הבגרות אנגלית (MODULE C) מילון אנגלי-אנגלי-עברי או מילון אנגלי-עברי-עברי-אנגלי قاموس إنجليزي - إنجليزي - عربي

פיזיקה של נהיגה מדריך למורה

ב. משרד החינוך בגרות לנבחנים אקסטרניים א נ ג ל י ת (MODULE B) הוראות מיוחדות: )2( בתום הבחינה החזר את השאלון למשגיח. בהצלחה!

Patents Basics. Yehuda Binder. (For copies contact:

Practical Session No. 13 Amortized Analysis, Union/Find

הצעת תשובות לשאלות בחינת הבגרות אנגלית

מ ק ו מ ו ת 5 מ י נ ה ל כ ס פ י ו מ ו ר י ה ח ב ר ה ל פ י ת ו ח י ר ו ש ל י ם ב ע מ מ ב ו א כ ל ל י ב ש נ ת, ב מ ס ג ר ת ח ג י ג ו ת י


Computer Structure. Exercise #1 יש להגיש את התשובות הסופיות על גבי טופס זה.

המבנה הגאומטרי של מידה

DEVELOPMENTAL PSYCHOLOGY

הטכנולוגיה בחינוך ד ר קובי גל אוניברסיטת בן גוריון בנגב

סה"כ נקודות סה"כ 31 נקודות סה"כ 21 תוכן עניינים של פתרון המבחן. לולאת for )נתון אלגוריתם... מעקב, פלט

ALEPH-TAU Hebrew School Lesson 204 (Nouns & Verbs-Masculine)

מבוא לתכנות - פיתוח משחקים ב Action Script 3.0

Which Way Did They Go?

Software Life-Cycle Models מודלים של מחזור חיי תוכנה - 1

sharing food intro price & extra drinks * ניתן להזמין מנות כשרות בתאום מראש for good memories

Expressions (ex 8) Wild World (ex 7) Cars (ex 9)

הקיטסיגול הרבחה יעדמל בלושמה גוחה

מבוא לתכנות ב- JAVA תרגול 7

תצוגת LCD חיבור התצוגה לבקר. (Liquid Crystal Display) המערכת.

קריאת גרפים. לצפייה בפתרון בווידאו לתרגילים שבחוברת, כנסו ל "קריאת גרפים" בשאלון 801 שבאתר 116

FILED: NEW YORK COUNTY CLERK 07/16/2014 INDEX NO /2014 NYSCEF DOC. NO. 102 RECEIVED NYSCEF: 07/16/2014 EXHIBIT 5

תורשכ ירפס לכ ץבוק " ב י קלח יללכ רעש

Name Page 1 of 5. דף ז. This week s bechina begins with the fifth wide line at the top of

נילי חמני

ENDOR. Contact us for more details

Visual C# 3.0 סדנת לימוד. Visual Studio 2005/2008.NET 2.0/3.0/3.5. John Sharp

מבחן באנגלית בהצלחה הצלחה!!! שם פרטי: שם משפחה: מס' תעודת זהות: תאריך: שם מרכז מנהל מרכז השכלה: תאריך בדיקת המבחן: כל הזכויות שמורות למשרד החינוך

שימוש בשפת R לניתוח סטטיסטי של נתונים באקולוגיה ובמדעי הסביבה

מיהו המורה הנושר? מאפיינים דמוגרפיים,תעסוקתיים ומוסדיים של הנשירה מהוראה

eriktology Torah Workbook Bereshiyt / Genesis [1]

קשירות.s,t V שני צמתים,G=(V,E) קלט: גרף מכוון מ- s t ל- t ; אחרת.0 אם יש מסלול מכוון פלט: הערה: הגרף נתון בייצוג של רשימות סמיכות.

Theories of Justice

קורסי בחירה מרוכזים בשפה האנגלית

HEBREW THROUGH MOVEMENT

מבוא למשפט האיחוד האירופי

מערכות ספרתיות ספר תרגילים כל הזכויות שמורות לאתר גול

eriktology The Writings Book of Ecclesiastes [1]

למבחן ביסודות מדעי המחשב דוגמא

ניפוי שגיאות )Debug( מאת ישראל אברמוביץ

א נ ג ל י ת בהצלחה! ב. משרד החינוך בגרות לנבחנים אקסטרניים מילון דו לשוני או מילון אוקספורד אנגלי-אנגלי-עברי הוראות מיוחדות:

SEEDS OF GREATNESS MINING THROUGH THE STORY OF MOSHE S CHILDHOOD

Interrogatives. Interrogative pronouns and adverbs are words that are used to introduce questions. They are not inflected for gender or number.

IBM System x3690 X5 תושבות. בלבד" מסוג.Nehalem EX במיוחד. Intel Xeon Nehalem EX 32 עד 64 רכיבי DIMM מסוג DDR3

ANNEXURE "E1-1" FORM OF IRREVOCABLE STANDBY LETTER OF CREDIT PERFORMANCE OF CONTRACT (WHERE PRICES ARE NOT LINKED TO AN ESCALATION FORMULA)

State Pattern מימוש מכונת מצבים (FSM) מבוא בעיה תמיכה ועדכונים עדכון מס' 48 מאי 2002

A R E Y O U R E A L L Y A W A K E?

Humanity s Downfall and Curses

Jehovah Yahweh I Am LORD. Exodus 3:13-15

זו מערכת ישרת זוית )קרטזית( אשר בה יש לנו 2 צירים מאונכים זה לזה. באותו מישור ניתן להגדיר נקודה על ידי זוית ורדיוס וקטור

הקלאסיות (לדוגמת,( EP610 סדרות MAX7000, MAX5000 וסדרת ה- FLEX FPGA. ב. Very High Speed Intgrated Circuits hardware description language - VHDL

SOURCE BOOK. The Holiday Series is an initiative of Partners Detroit Compiled by Rabbi Chaim Fink

עץ תורשה מוגדר כך:שורש או שורש ושני בנים שכל אחד מהם עץ תורשה,כך שערך השורש גדול או שווה לסכום הנכדים(נכד-הוא רק בן של בן) נתון העץ הבא:

Name Page 1 of 5. ,דף ד: This week s bechina starts at the bottom of שיר של חול

מיעוטים דתיים באימפריות מודרניות

הבסיס כתיבת התכנית הראשונה שימוש במשתנים. הטיפוס הבסיסי object. הטיפוס הבסיסי string משפטי בקרה. שימוש ב- Enumerations. שימוש ב- Namespaces

Advisor Copy. Welcome the NCSYers to your session. Feel free to try a quick icebreaker to learn their names.

SHABBOS, 10 TAMMUZ, 5778

ASP.Net MVC + Entity Framework Code First.

אוניברסיטת בן גוריון בנגב

Hebrew Adjectives. Hebrew Adjectives fall into 3 categories: Attributive Predicative Substantive

A lot of the time when people think about Shabbat they focus very heavily on the things they CAN T do.

אנגלית בהצלחה! ובאתר של אגף הבחינות במשרד החינוך. השימוש במילון אחר טעון אישור של הפיקוח על הוראת האנגלית. הוראות מיוחדות:

A Hebrew Manuscript of the Book of Revelation British Library, MS Sloane 273. Transcribed and Translated by Nehemia Gordon

תרבויות של עדות

COUNSELLING FOR ADDLESCENCE

Jacob and the Blessings

Iris Levari Security Consultant CISSP ISC 2 CISSP Lead lecturer ISO LA מבדקי חדירה ופיתוח מאובטח

תרגול 11 תור עץ חיפוש בינארי

תכניות סטנדרטיות ב UNIX שרשור פקודות באמצעות Pipeline עבודה ב- bash

Civil Society Conflict Transformation & Reconciliation:Between Theory

INTRODUCTION TO SOCIAL WORK-II

Transcription:

בס"ד משרד החינוך המינהל למדע ולטכנולוגיה הפיקוח על מגמת הנדסת אלקטרוניקה ומחשבים ומגמת מערכות בקרה ואנרגיה מגמת הנדסת אלקטרוניקה ומחשבים תכנית לימודים למקצוע שפת תיאור חומרה VHDL סמל מקצוע 11.9014 כיתה י"ד כסלו תשס"ז (דצמבר 2006)

תכנית הלימודים במקצוע שפת תיאור חומרה VHDL 108 שעות כיתה י"ד מספר שעות 3 5 6 8 2 6 16 15 12 9 6 20 108 ראשי פרקים VHDL ושל רכיבים בני- תכנות ההתפתחות של שפת 1. עקרונות ושלבים בתכנון מערכות ספרתיות 2. מבנים (בלוקים) עיקריים בתכנית בשפת VHDL 3. סוגים עיקריים של משתנים 4. אופרטורים ופקדים לוגיים ואריתמטיים 5. מימוש של מערכות על- פי תכנון מקבילי 6. תכנון סידרתי (טורי) ושימוש ב- PROCESS 7. תכנון של מערכות ספרתיות כמכונות מצבים-( Machines (State 8. Prjects) (Hierarchical עקרונות התכנון ההיררכי של מערכות ספרתיות 9. 10. פונקציות, פרוצדורות וחבילות תקניות (סטנדרטיות) 11. עקרונות של כתיבת Test Bench 12. מטלה מסכמת סך-הכול 2

ה, ה, 3 שעות 1. התפתחות של שפת VHDL ושל רכיבים בני תכנות המטרה של שפת VHDL יתרונות של שפת VHDL על פני שיטות מימוש אחרות של 1.1 מערכות ספרתיות. ההיסטוריה של שפת.VHDL השוואת השימוש בשפת VHDL לשיטת המימוש הקלאסית 1.1.1 1.1.2.Randm Lgic 1.2 רכיבים בני-תכנות חזרה. רכיבים מסוג PLA ו- PAL. 1.2.1 1.2.2 תיאור המבנה העקרוני של רכיבים מסוג CPLD ו-.FPGA 5 שעות 2. עקרונות ושלבים בתכנון של מערכות ספרתיות עקרון התכנון "מלמעלה למטה",,TOP DOWN עקרון התכנון "מלמטה למעלה",.BOTTOM UP תהליך התכנון ופירוט השלבים השונים במימוש של מערכת ספרתית בשפת VHDL לפי מפרט ידוע: הידור(קומפילציה), הדמיה (סימולציה), סינתזה וצריבה. מושגים בסיסיים בשפת VHDL הצגה ראשונית..Entity, Event, Architecture, Cmpnent, Signal, Cnstant 2.1 2.2 2.3 6 שעות 3. מבנים (בלוקים) עיקריים בתכנית בשפת VHDL 3.1 תכנון וכתיבה של ישות.(ENTITY) 3.1.1 תיאור הממשק לסביבת העבודה.(PORT) 3.1.2 אופן ) (MODE פעולה של משתנים:.INOUT,OUT,IN מבנה גוף התכנית, בלוק ה- ARCHITECTURE בלוק ה- PROCESS ותפקידו הסבר כללי*. מבנה של תכנית קלאסית. 3.2 3.3 * פירוט נרחב מופיע בסעיף 3.1 7. 3

8 שעות סוגים עיקריים של משתנים.4 משתנים לוגיים, אופן השימוש בהם ואופן ההצבה בהם..(STD_LOGIC_VECTOR, STD_LOGIC, BIT_VECTOR, BIT) 4.1 4.2 משתנה מסוג.INTEGER השימוש במשתנה ואופן ההצבה בו. 4.2.1 4.2.2 אופן הקצאת החומרה למשתנה. SIGNAL) (VARIABLE, והשימוש בהם. הצהרה על משתני עזר פנימיים 4.3 4.3.1 הכרת השימוש במשתנה עזר מסוג.SIGNAL 4.3.2 שימוש ב- SIGNAL כ-"חוט מקשר" בין מבנים. הגדרת משתנה כללי מסוג VARIABLE והכרת השימוש בו. 4.3.3 4.3.4 מתן שמות חלופיים למשתנים.(ALIAS) 4.3.5 הגדרת קבוע.(CONSTANT) 4.3.6 משתנים כלליים (GENERIC) ואופן השימוש בהם. 2 שעות 5. אופרטורים ופקדים לוגיים ואריתמטיים 5.1 הכרת האופרטורים הלוגיים:.XNOR, XOR, NOR, NAND, NOT, AND, OR 5.2 הכרת אופרטורים אריתמטיים ולוגיים: +,-,,*,/,<,>.=.SLL, SRL, SLA, SRA, ROL, ROR הכרת 5.3 פעולות הזזה אריתמטיות ולוגיות: 6 שעות 6. מימוש מערכות על-פי תכנון מקבילי הבנת המהות של התכנון המקבילי והצורך בו. תכנון של מערכות צירופיות באמצעות אופרטורים לוגיים בלבד. הוספת התניות בגוף התכנית, מחוץ ל- PROCESS. 6.1 6.2 6.3 6.3.1 לימוד השימוש בתנאי.WITH...SELECT לימוד השימוש בתנאי, WHEN...ELSE הדגשה של האפשרות לביצוע של 6.3.2 עדיפויות בדיקה. 6.4 מימוש של מערכות צירופיות, שימוש בהתניות מחוץ ל- PROCESS. 4

16 שעות 7. תכנון סידרתי (טורי) ושימוש ב- PROCESS הבנת המהות של התכנון הסדרתי (טורי) ושל הצורך בו. הכרת המבנה, התצורה והמשמעות הלוגית של בלוק ה- PROCESS. רשימת רגישויות. 7.1 7.2 7.3 הבנת המושג רשימת רגישויות. תיאור של היווצרות LATCH כתוצאה משימוש שגוי ברשימת רגישויות. 7.3.1 7.3.2 הכרה של תכונת אירוע (EVENT) ושיוכו של אירוע למשתנה. ביצוע של השהיה ושל השהיה מותנית באמצעות שימוש בפקודת 7.4 7.5.WAIT UNTIL- WAIT 7.6 ביצוע של התניות בתוך ה- PROCESS. לימוד של תנאי מסוג,IF הדגשת האפשרות של ביצוע עדיפויות בדיקה. 7.6.1 7.6.2 לימוד של תנאי מסוג.CASE 7.7 שימוש במשתני עזר פנימיים,,VARIABELS ל- PROCESS. פיתוח של מערכות עקיבה (מערכות עם זיכרון) עם שימוש בהתניות: 7.8 מימוש של הדלגלגים:.SRFF,JKFF,TFF,DFF כתיבת קוד לאיפוס סינכרוני ואיפוס אסינכרוני. מימוש של מונים: מונה בינארי, מונה עשרוני ומונה ג'ונסון. 7.8.1 7.8.2 7.8.3 15 שעות 8. תכנון של מערכות ספרתיות כמכונות מצבים Machines) (State 8.1 הגדרת טיפוסי משתנים חדשים על-ידי המשתמש (TYPE) user defined types - עקרונות הפעולה ואופן המימוש של מכונת מצבים בשיטת.MOORE עקרונות הפעולה ואופן המימוש של מכונת מצבים בשיטת.MEALY ביצוע של פעולת סרק,.NULL אופן המעבר בין מימוש של מכונת מצבים בשיטת MOORE למימוש של מכונת מצבים בשיטת.MEALY אופן המעבר בין מימוש של מכונת מצבים בשיטת MEALY למימוש של מכונת מצבים בשיטת.MOORE 8.2 8.3 8.4 8.5 5

12 שעות 9. עקרונות התכנון ההיררכי של מערכות ספרתיות Prjects) (Hierarchical יתרונות השימוש בתכנון היררכי של מערכות ספרתיות. (Preliminary Design Review ) PDR של מערכת: עץ מבניות בתכנון היררכי. 9.1 9.2 עקרונות התכנון בשיטה "מלמעלה למטה". שימוש בעורך גרפי לחיבור בין מבניות בתכנון ההיררכי. הגדרת מבנית ושימוש בה כמרכיב בתכנון ההיררכי בעורך הטקסט.(PORT MAP, COMPONENT) 9.2.1 9.2.2 9.2.3 9.2.4 שימוש בפקודת.GENERATE שימוש בלולאת FOR לחיבור ולשרשור מבניות. פעולת GENERATE מותנית בתנאי.IF 9.2.4.1 9.2.4.2 9 שעות 10. פונקציות, פרוצדורות וחבילות סטנדרטיות הכרת כללי התחביר להגדרת פרמטרים של פונקציה (FUNCTION) ועקרונות השימוש בפונקציה. 10.1 הכרת כללי התחביר להגדרת פרמטרים של השימוש בפרוצדורה. פרוצדורה ( PROCEDURE ) ועקרונות 10.2 הכרה של 10.3 חבילת הפונקציות ושל חבילת הפרוצדורות הסטנדרטיות. 10.3.1 הכרת החבילה.STD_LOGIC_1164 הכרת החבילה.STD_LOGIC_ARITH הכרת החבילה.STD_LOGIC_UNSIGNED 10.3.2 10.3.3 בנייה של 10.4 חבילת פונקציות.(PACKAGE) 6 שעות 11. עקרונות של כתיבת Test Bench עקרונות של כתיבת תכנית "סביבת בדיקה" עבור תכנית.UUT-Unit Under Test הפעלת אלמנטים של השהיה וזמן בתכנית "סביבת בדיקה". 11.1 11.2 שימוש ברמות דיווח שונות ASSERT).(REPORT, 11.3 11.4 שימוש במערכים "מצוירים" בתכנית "סביבת בדיקה" לצורך עירור מבואות.UUT 6

20 שעות מטלה 12. מסכמת תפקיד המטלה המסכמת להטמיע את השימוש בשפה. מומלץ לבצע את המטלה במקביל ללימודים ההתנסותיים, בהתאם להנחיות הבאות: המטלה המסכמת עשויה לכלול צירוף של שני פרויקטונים (לפחות), מאלה המופיעים בתכנית הלימודים ההתנסותית. ניתן להציע מטלה עצמאית בהיקף ורמה מתאימים. מומלץ שביצוע של המטלה המסכמת ייעשה בקבוצות של 2-4 סטודנטים, וזאת בהתאם להיקף העבודה הנדרש במטלה. ניתן לבצע יותר ממטלה אחת בהתאם להיקף השעות הנדרש לביצוע של כל אחת מן המטלות. אותה מטלה יכולה להתבצע על-ידי כלל הסטודנטים בכיתה, או לחילופין כל אחת מן הקבוצות של הסטודנטים תבצע מטלה שונה. תהליך התכנון והביצוע של המטלה המסכמת יבוצע בהנחיתו של מורה המלמד. בסיום ביצוע המטלה יוגש דוח מסכם על-פי הדרישות הבאות: א. הצגת מטרת המטלה והמפרט הטכני של הפרויקטון. ב. סרטוט תרשים המלבנים של המערכת הכולל את תיאור של המבואות והמוצאים ואת סוג המשתנים המייצג אותם. ג. תיאור של חלוקת המערכת לתת- מבנה תוך ציון המבואות והמוצאים של כל תת-מבנה. ד. תיאור של חלוקת העבודה בין חברי הקבוצה- בהתאם לחלוקה לתת הנערכות. ה. הצגה של קוד VHDL לכל תת-מבנה. ו. הצגת תוצאות ההדמיה עבור כל תת-מערכת. ז. תיאור של האינטגרציה בין המרכיבים השונים, ותיאור של תהליך בדיקת תקינות המערכת (הדמיה) המלצה: לאחר ביצוע של תכנון המטלה ובדיקתה, מומלץ לממשה בחומרה במסגרת שעות המעבדה. 7

הערות מומלץ לשקול בחיוב את חיזוק הקשר בין הלימודים העיוניים לבין הלימודים ההיתנסותיים, וזאת באמצעות שילוב, במסגרת השעות העיוניות, ביצוע של חמש * מטלות עיוניות, על-פי הפירוט הבא:.1 מטלה 1 פתרון עיוני לשני ניסויים מתוך הקבוצה (3,4,5). מטלה 2 פתרון עיוני לשלושה ניסויים מתוך הקבוצה (6,7,8,9,10). מטלה 3 פתרון עיוני לניסוי אחד מתוך הקבוצה (11,12). מטלה 4 פתרון עיוני לניסוי אחד מתוך הקבוצה (13,14). מטלה 5 פתרון עיוני לשני ניסויים מתוך הקבוצה (15,16,17). לאחר ביצוע של תכנון המטלה ובדיקתה, מומלץ לממשה, בחומרה, במסגרת שעות המעבדה. * המלצה: 8

ספרות מומלצת אלקטרוניקה ספרתית, כרך ב', יחידה 8 תיכון חומרה בעזרת,VHDL הוצאת אורט ובית הספר לטכנולוגיה של האוניברסיטה הפתוחה, (2004) ISBN: 965-06-0661-0 שפת תיאור חומרה,VHDL אייל חברבר, הוצאת שורש, (2004). תיכון חומרה ניסויים ופרויקטים ב-,VHDL הוצאת מטח ובית הספר לטכנולוגיה של האוניברסיטה הפתוחה, (2005) 965-06-0732-3 ISBN: The VHDL Glden Reference Guide, DOULOS VHDL Prgramming by Example, Duglas L.Perry, McGraw-Hill.1.2.3.4.5 9